CS@CU Logo

Stephen A. Edwards

Publications

My ORCID: 0000-0003-2609-4861

This material is based upon work supported by the National Science Foundation under grants 0133348 (CAREER), 0614799 (SHIM), and 0720292 (PRET). Other support has come from DARPA, the NIH, the SRC, the New York State NYSTAR program, Intel Corporation, and Altera.

Any opinions, findings, and conclusions or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the National Science Foundation or any other source of support.

1. John Hui and Kyle J. Edwards and Stephen A. Edwards. Timestamp Peripherals for Precise Real-Time Programming. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), Hamburg, Germany, September 2023.
2. Marten Lohstroh and Edward A. Lee and Stephen A. Edwards and David Broman. Logical Time for Reactive Software. In Workshop on Time-Centric Reactive Software (TCRS), pages 313-–318, San Antonio, TX, USA, May 2023.
3. John Hui and Stephen A. Edwards. Towards Sparse Synchronous Programming in Lua. In Workshop on Time-Centric Reactive Software (TCRS), pages 361-–366, San Antonio, TX, USA, May 2023.
4. John Hui and Stephen A. Edwards. The Sparse Synchronous Model on Real Hardware. ACM Transactions on Embedded Computing Systems, December 2022. Just Accepted.
5. Maxwell Levatich and Robert Brotzman and Benjamin Flin and Ta Chen and Rajesh Krishnan and Michael Kaplan and Stephen A. Edwards. C Program Partitioning with Fine-Grained Security Constraints and Post-Partition Verification. In Proceedings of the IEEE Military Communications Conference (MILCOM), pages 285-291, Rockville, Maryland, USA, November 2022.
6. Robert Krook and John Hui and Bo Joel Svensson and Stephen A. Edwards and Koen Claessen. Creating a Language for Writing Real-Time Applications for the Internet of Things. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), Shanghai, China, October 2022.
7. Martha Barker and Stephen A. Edwards and Martha Kim. Synthesized In-BRAM Garbage Collection for Accelerators with Immutable Memory. In Proceedings of Field Programmable Logic and Applications (FPL), Belfast, UK, August 2022.
8. Stephen A. Edwards and John Hui. The Sparse Synchronous Model. In Forum on Specification and Design Languages (FDL), Kiel, Germany, September 2020.
9. Stephen A. Edwards. The FHW Project: High-Level Hardware Synthesis from Haskell Programs. Columbia University, Department of Computer Science CUCS-003-19. August 2019.
10. Lottarini, Andrea and Cerqueira, Jo\~ao P. and Repetti, Thomas J. and Edwards, Stephen A. and Ross, Kenneth A. and Seok, Mingoo and Kim, Martha A.. Master of None Acceleration: A Comparison of Accelerator Architectures for Analytical Query Processing. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 762-773, June 2019.
11. Richard Townsend. Compiling Irregular Software to Specialized Hardware. PhD Thesis, Columbia University, Department of Computer Science. June 2019. Also technical report CUCS-002-19.
12. Stephen A. Edwards. Further Experiences Teaching an FPGA-Based Embedded Systems Class. In Roger Chamberlain and Walid Taha and Martin T\"orngren, ed. Cyber Physical Systems. Model-Based Design. pages 222-230, Springer, 2019.
13. Stephen A. Edwards and Richard Townsend and Martha Barker and Martha A. Kim. Compositional Dataflow Circuits. ACM Transactions on Embedded Computing Systems 18(1):5, February 2019.
14. Stephen A. Edwards. Further Experiences Teaching an FPGA-Based Embedded Systems Class. In Proceedings of the Workshop on Embedded Systems Education (WESE), Turin, Italy, October 2018.
15. Stephen A. Edwards. On Determinism. In Patricia Derler and Marten Lohstroh and Marjan Sirjani, ed. Principles of Modeling: Essays dedicated to Edward A. Lee on the Occasion of his 60th Birthday. pages 240-253, Springer, Berkeley, California, 2017.
16. Stephen A. Edwards and Richard Townsend and Martha A. Kim. Compositional Dataflow Circuits. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 175-184, Vienna, Austria, September 2017.
17. Andrea Lottarini and Stephen A. Edwards and Kenneth A. Ross and Martha A. Kim. Network Synthesis for Database Processing Units. In Proceedings of the Design Automation Conference (DAC), Austin, Texas, June 2017.
18. Bingyi Cao and Kenneth A. Ross and Stephen A. Edwards and Martha A. Kim. Deadlock-Free Joins in DB-Mesh, an Asynchronous Systolic Array Accelerator. In Proceedings of the Workshop on Data Management on New Hardware (DaMoN), Chicago, Illinois, May 2017. Article No. 5.
19. Richard Townsend and Martha A. Kim and Stephen A. Edwards. From Functional Programs to Pipelined Dataflow Circuits. In Proceedings of Compiler Construction (CC), pages 76-86, Austin, Texas, February 2017.
20. Stephen A. Edwards and Joseph T. Buck. Design and Verification Languages. In Luciano Lavagno and Igor L. Markov and Grant Martin and Louis K. Scheffer, ed. Electronic Design Automation for IC System Design, Verification, and Testing. chapter 15, pages 373-400, CRC Press, 2016.
21. Stephen A. Edwards and Joseph T. Buck. System-Level Specification and Modeling Languages. In Luciano Lavagno and Igor L. Markov and Grant Martin and Louis K. Scheffer, ed. Electronic Design Automation for IC System Design, Verification, and Testing. chapter 4, pages 59-74, CRC Press, 2016.
22. Kuangya Zhai and Richard Townsend and Lianne Lairmore and Martha A. Kim and Stephen A. Edwards. Hardware Synthesis from a Recursive Functional Language. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pages 83-93, Amsterdam, The Netherlands, October 2015.
23. Bingyi Cao and Kenneth A. Ross and Martha A. Kim and Stephen A. Edwards. Implementing Latency-Insensitive Dataflow Blocks. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 179-187, Austin, Texas, September 2015.
24. Richard Townsend and Martha A. Kim and Stephen A. Edwards. Hardware in Haskell: Implementing Memories in a Stream-Based World. Columbia University, Department of Computer Science CUCS-017-15. September 2015.
25. Kuangya Zhai and Richard Townsend and Lianne Lairmore and Martha A. Kim and Stephen A. Edwards. Hardware Synthesis from a Recursive Functional Language. Columbia University, Department of Computer Science CUCS-007-15. April 2015.
26. Stephen A. Edwards and Hiren Patel. MEMOCODE 2014 Software Design Contest: Space Invaders Emulator. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 185, Lausanne, Switzerland, October 2014. Invited.
27. Richard Townsend and Martha A. Kim and Stephen A. Edwards. Resource Allocation for Hardware Implementations of Map. In Proceedings of the Workshop on Architectures and Systems for Big Data (ASBD), Minneapolis, Minnesota, June 2014.
28. Stephen A. Edwards and Alain Girault and Klaus Schneider. Synchronous Programming (Dagstuhl Seminar 13471). Dagstuhl Reports 3(11):117-143, March 2014.
29. Stephen A. Edwards. Functioning Hardware from Functional Programs. Columbia University, Department of Computer Science CUCS-027-13. October 2013.
30. Stephen A. Edwards. A Finer Functional Fibonacci on a Fast FPGA. Columbia University, Department of Computer Science CUCS-005-13. February 2013.
31. Stephen A. Edwards. Reconstructing Pong on an FPGA. Columbia University, Department of Computer Science CUCS-0023-12. December 2012.
32. Neil Deshpande and Stephen A. Edwards. Statically Unrolling Recursion to Improve Opportunities for Parallelism. Columbia University, Department of Computer Science CUCS-011-12. July 2012.
33. Stephen A. Edwards. MEMOCODE 2012 Hardware/Software Codesign Contest: DNA Sequence Aligner. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 85-90, Arlington, Virginia, July 2012.
34. Stephen A. Edwards. Functional Fibonacci to a Fast FPGA. Columbia University, Department of Computer Science CUCS-010-12. June 2012.
35. Lisa Wu and Martha A. Kim and Stephen A. Edwards. Cache Impacts of Datatype Acceleration. Computer Architecture Letters 11(1):21-24, January 2012. Selected as one of the ``Best Papers from Computer Architecture Letters'' in 2011.
36. Nalini Vasudevan. Efficient, Deterministic and Deadlock-free Concurrency. PhD Thesis, Columbia University. March 2011. CUCS-013-11.
37. Marcio Buss and Daniel Brand and Vugranam Sreedhar and Stephen A. Edwards. A novel analysis space for pointer analysis and its application for bug finding. Science of Computer Programming 75(11):921-942, November 2010.
38. Nalini Vasudevan and Stephen A. Edwards. Buffer Sharing in Rendezvous Programs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29(10):1471-1480, October 2010.
39. Nalini Vasudevan and Kedar Namjoshi and Stephen A. Edwards . Simple and Fast Biased Locks. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 65-74, Vienna, Austria, September 2010.
40. Martha A. Kim and Stephen A. Edwards. Computation vs. Memory Systems: Pinning Down Accelerator Bottlenecks. In Proceedings of the Workshop on Architectural and Microarchitectural Support for Binary Translation (AMAS-BT), Saint-Malo, France, June 2010.
41. Nalini Vasudevan and Stephen A. Edwards. Determinism Should Ensure Deadlock-Freedom. In Proceedings of the 2nd USENIX Workshop on Hot Topics in Parallelism (HotPar), Berkeley, California, June 2010.
42. Nalini Vasudevan and Stephen A. Edwards. Ensuring Deterministic Concurrency through Compilation. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, Atlanta, USA, April 2010.
43. Stephen A. Edwards and Nalini Vasudevan. Compiling SHIM. In Sandeep K. Shukla and Jean-Pierre Talpin, ed. Synthesis of Embedded Software: Frameworks and Methodologies for Correctness by Construction. chapter 4, pages 121-146, Springer, 2010.
44. Stephen A. Edwards. Retrocomputing on an FPGA. Circuit Cellar 233:24-35, December 2009.
45. Stephen A. Edwards. Concurrency and Communication: Lessons from the SHIM Project. In Proceedings of the Workshop on Software Technologies for Future Embedded and Ubiquitious Systems (SEUS), pages 276-287, Newport Beach, California, November 2009.
46. Stephen A. Edwards and Sungjun Kim and Edward A. Lee and Isaac Liu and Hiren D. Patel and Martin Schoeberl. A Disruptive Computer Design Idea: Architectures with Repeatable Timing. In Proceedings of the IEEE International Conference on Computer Design (ICCD), Lake Tahoe, CA, October 2009.
47. Stephen A. Edwards and Sungjun Kim and Edward A. Lee and Hiren D. Patel and Martin Schoeberl. Reconciling Repeatable Timing with Pipelining and Memory Hierarchy. In Proceedings of the Workshop on Reconciling Performance with Predictability (RePP), Grenoble, France, October 2009.
48. Baolin Shao and Nalini Vasudevan and Stephen A. Edwards. Compositional Deadlock Detection for Rendezvous Communication. In Proceedings of the International Conference on Embedded Software (Emsoft), pages 59-66, Grenoble, France, October 2009.
49. Sungjun Kim and Hiren D. Patel and Stephen A. Edwards. Using a Model Checker to Determine Worst-case Execution Time. Columbia University, Department of Computer Science CUCS-038-09. September 2009.
50. Nalini Vasudevan and Stephen A. Edwards. Buffer Sharing in CSP-like Programs. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), Cambridge, Massachusetts, July 2009.
51. Nalini Vasudevan and Stephen A. Edwards. A Determinizing Compiler. In Programming Languages Design and Implementation (PLDI) - Fun Ideas and Thoughts Session, Dublin, Ireland, June 2009.
52. Nalini Vasudevan and Stephen A. Edwards. Celling SHIM: Compiling Deterministic Concurrency to a Heterogeneous Multicore. In Proceedings of the Symposium on Applied Computing (SAC), pages 1626-1631, Honolulu, Hawaii, March 2009.
53. Nalini Vasudevan and Olivier Tardieu and Julian Dolby and Stephen A. Edwards. Compile-Time Analysis and Specialization of Clocks in Concurrent Programs. In Proceedings of Compiler Construction (CC), pages 48-62, York, United Kingdom, March 2009.
54. Cristian Soviani and Ilija Had\vzi\'c and Stephen A. Edwards. Synthesis and Optimization of Pipelined Packet Processors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28(2):231-244, February 2009.
55. Devesh Dedhia. Example application under PRET environment - Programming a MultiMediaCard. Columbia University, Department of Computer Science CUCS-005-09. January 2009.
56. Ravindra Babu Ganapathi and Stephen A. Edwards. SHIM Optimization: Elimination Of Unstructured Loops. Columbia University, Department of Computer Science CUCS-054-08. December 2008.
57. Keerti Joshi and Delvin Kellebrew. A MPEG Decoder in SHIM. Columbia University, Department of Computer Science CUCS-057-08. December 2008.
58. David Lariviere and Stephen A. Edwards. uClinux on the Altera DE2. Columbia University, Department of Computer Science CUCS-055-08. December 2008.
59. Nishant R. Shah. Memory Issues in PRET Machines. Columbia University, Department of Computer Science CUCS-059-08. December 2008.
60. Dave Aaron Smith and Nalini Vasudevan and Stephen Edwards. Static Deadlock Detection in SHIM with an Automata Type Checking System. Columbia University, Department of Computer Science CUCS-053-08. December 2008.
61. Nalini Vasudevan and Olivier Tardieu and Julian Dolby and Stephen A. Edwards. Analysis of Clocks in X10 Programs (Extended). Columbia University, Department of Computer Science CUCS-052-08. December 2008.
62. Ben Lickly and Isaac Liu and Sungjun Kim and Hiren D. Patel and Stephen A. Edwards and Edward A. Lee. Predictable Programming on a Precision Timed Architecture. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), pages 137-146, Atlanta, Georgia, October 2008.
63. Osama Neiroukh and Stephen A. Edwards and Xiaoyu Song. Transforming Cyclic Circuits Into Acyclic Equivalents. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27(10):1775-1787, October 2008.
64. Nalini Vasudevan and Stephen A. Edwards. Static Deadlock Detection for the SHIM Concurrent Language. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 49-58, Anaheim, California, June 2008.
65. Ben Lickly and Isaac Liu and Sungjun Kim and Hiren D. Patel and Stephen A. Edwards and Edward A. Lee. Predictable Programming on a Precision Timed Architecture. University of California, Berkeley UCB/EECS-2008-40. April 2008.
66. Nalini Vasudevan and Satnam Singh and Stephen A. Edwards. A Deterministic Multi-Way Rendezvous Library for Haskell. In Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS), pages 1-12, Miami, Florida, April 2008.
67. Marcio Buss and Daniel Brand and Vugranam Sreedhar and Stephen A. Edwards. Flexible Pointer Analysis Using Assign-Fetch Graphs. In Proceedings of the Symposium on Applied Computing (SAC), pages 234-239, Fortaleza, Cear\'a, Brazil, March 2008.
68. Stephen A. Edwards and Nalini Vasudevan and Olivier Tardieu. Programming Shared Memory Multiprocessors with Deterministic Message-Passing Concurrency: Compiling SHIM to Pthreads. In Proceedings of Design, Automation, and Test in Europe (DATE), pages 1498-1503, Munich, Germany, March 2008.
69. Marcio Buss. Summary-Based Pointer Analysis Framework for Modular Bug Finding. PhD Thesis, Columbia University. February 2008. CUCS-013-08.
70. Stephen A. Edwards and Jia Zeng. Static Elaboration of Recursion for Concurrent Software. In Proceedings of the Workshop on Partial Evaluation and Program Manipulation (PEPM), pages 71-80, San Francisco, California, January 2008.
71. Jia Zeng. Partial Evaluation for Code Generation from Domain-Specific Languages. PhD Thesis, Columbia University. November 2007. CUCS-048-07.
72. Cristian Soviani. High Level Synthesis for Packet Processing Pipelines. PhD Thesis, Columbia University. October 2007. CUCS-041-07.
73. Marcio Buss and Daniel Brand and Vugranam Sreedhar and Stephen A. Edwards. A New Abstraction for Summary-Based Pointer Analysis. IBM RC24104. July 2007.
74. Stephen A. Edwards and Edward A. Lee. The Case for the Precision Timed (PRET) Machine. In Proceedings of the 44th Design Automation Conference, pages 264-265, San Diego, California, June 2007.
75. Cristian Soviani and Stephen A. Edwards. FIFO Sizing for High-Performance Pipelines. In Proceedings of the International Workshop on Logic Synthesis (IWLS), San Diego, California, June 2007.
76. Cristian Soviani and Olivier Tardieu and Stephen A. Edwards. Optimizing Sequential Cycles through Shannon Decomposition and Retiming. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26(3):456-467, March 2007.
77. Olivier Tardieu and Stephen A. Edwards. Instantaneous Transitions in Esterel. In Proceedings of the Workshop on Model-Driven High-Level Programming of Embedded Systems (SLA++P), Braga, Portugal, March 2007.
78. Haim Cohen and Stephen A. Edwards. \sets\-A Lightweight Constraint Programming Language Based on ROBDDs. In Proceedings of the IADIS International Conference on Applied Computing, Salamanca, Spain, February 2007.
79. Stephen A. Edwards and Jia Zeng. Code Generation in the Columbia Esterel Compiler. EURASIP Journal on Embedded Systems 2007:Article ID 52651, 31 pages, February 2007.
80. Book coverDumitru Potop-Butucaru and Stephen A. Edwards and G\'erard Berry. Compiling Esterel. Springer, 2007.
81. Chen-Chun Huang and Javier Coca and Yashket Gupta and Stephen A. Edwards. An Implementation of a Renesas H8/300 Microprocessor with a Cycle-Level Timing Extension. Columbia University, Department of Computer Science CUCS-051-06. December 2006.
82. Smridh Thapar and Olivier Tardieu and Stephen A. Edwards. Arrays in SHIM: A Proposal. Columbia University, Department of Computer Science CUCS-047-06. December 2006.
83. Nalini Vasudevan and Stephen A. Edwards. A JPEG Decoder in SHIM. Columbia University, Department of Computer Science CUCS-048-06. December 2006.
84. Stephen A. Edwards and Edward A. Lee. The Case for the Precision Timed (PRET) Machine. EECS Department, University of California, Berkeley UCB/EECS-2006-149. November 2006.
85. Olivier Tardieu and Stephen A. Edwards. Scheduling-Independent Threads and Exceptions in SHIM. In Proceedings of the International Conference on Embedded Software (Emsoft), pages 142-151, Seoul, Korea, October 2006.
86. Stephen A. Edwards. The Challenges of Synthesizing Hardware from C-Like Languages. IEEE Design \& Test of Computers 23(5):375-386, September 2006.
87. Neesha Subramaniam and Ohan Oda and Stephen A. Edwards. MacShim: Compiling MATLAB to a Scheduling-Independent Concurrent Language. Columbia University, Department of Computer Science CUCS-038-06. September 2006.
88. Olivier Tardieu and Stephen A. Edwards. Scheduling-Independent Threads and Exceptions in SHIM. Columbia University, Department of Computer Science CUCS-036-06. September 2006.
89. Olivier Tardieu and Stephen A. Edwards. Specifying Confluent Processes. Columbia University, Department of Computer Science CUCS-037-06. September 2006.
90. Stephen A. Edwards and Olivier Tardieu. SHIM: A Deterministic Model for Heterogeneous Embedded Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14(8):854-867, August 2006.
91. Nicholas Jun Hao Ip and Stephen A. Edwards. A Processor Extension for Cycle-Accurate Real-Time Software. In Proceedings of the IFIP International Conference on Embedded and Ubiquitous Computing (EUC), pages 449-458, Seoul, Korea, August 2006.
92. Cristian Soviani and Ilija Had\vzi\'c and Stephen A. Edwards. Synthesis of High-Performance Packet Processing Pipelines. In Proceedings of the 43rd Design Automation Conference, pages 679-682, San Francisco, California, July 2006.
93. Olivier Tardieu and Stephen A. Edwards. R-SHIM: Deterministic Concurrency with Recursion and Shared Variables. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 202, Napa, California, July 2006.
94. Stephen A. Edwards and Olivier Tardieu. Efficient Code Generation from SHIM Models. In Proceedings of Languages, Compilers, and Tools for Embedded Systems (LCTES), pages 125-134, Ottawa, Canada, June 2006.
95. Jia Zeng and Chuck Mitchell and Stephen A. Edwards. A Domain-Specific Language for Generating Dataflow Analyzers. In Proceedings of the Sixth Workshop on Language Descriptions, Tools and Applications, Vienna, Austria, April 2006.
96. Osama Neiroukh and Stephen A. Edwards and Xiaoyu Song. An Efficient Algorithm for the Analysis of Cyclic Circuits. In Proceedings of the Symposium on VLSI (ISVLSI), pages 303-308, Karlsruhe, Germany, March 2006.
97. Becky Plummer and Mukul Khajanchi and Stephen A. Edwards. An Esterel Virtual Machine for Embedded Systems. In Proceedings of Synchronous Languages, Applications, and Programming (SLAP), pages 1-14, Vienna, Austria, March 2006.
98. Cristian Soviani and Olivier Tardieu and Stephen A. Edwards. Optimizing Sequential Cycles through Shannon Decomposition and Retiming. In Proceedings of Design, Automation, and Test in Europe (DATE), pages 1085-1090, Munich, Germany, March 2006.
99. Stephen A. Edwards. Design and Verification Languages. In Luciano Lavagno and Grant Martin and Lou Scheffer, ed. Electronic Design Automation for Integrated Circuits Handbook. CRC Press, Boca Raton, Florida, 2006.
100. Stephen A. Edwards. Using Program Specialization to Speed SystemC Fixed-Point Simulation. In Proceedings of the Workshop on Partial Evaluation and Program Manipulation (PEPM), pages 21-28, Charleston, South Carolina, January 2006.
101. Jia Zeng and Stephen A. Edwards. Separate Compilation for Synchronous Modules. In Proceedings of the 2nd International Conference on Embedded Software and Systems (ICESS), pages 129-140, Xi'an, China, December 2005.
102. Stephen A. Edwards. Experiences Teaching an FPGA-based Embedded Systems Class. ACM SIGBED Review 2(4):56-62, October 2005. Originally presented at the Workshop on Embedded Systems Education.
103. Cristian Soviani and Stephen A. Edwards and Angelos Keromytis. Adding a Flow-Oriented Paradigm to Commodity Operating Systems. In Proceedings of the Workshop on Interaction between Operating System and Computer Architecture (IOSCA), pages 1-6, Austin, Texas, October 2005.
104. Olivier Tardieu and Stephen A. Edwards. Approximate Reachability for Dead Code Elimination in Esterel$^*$. In Proceedings of the Third International Symposium on Automated Technology for Verification and Analysis (ATVA), pages 323-337, Taipei, Taiwan, October 2005.
105. Marcio Buss and Stephen A. Edwards and Bin Yao and Daniel Waddington. Pointer Analysis for Source-to-Source Transformations. In Proceedings of the 5th International Workshop on Source Code Analysis and Manipulation (SCAM), pages 139-148, Budapest, Hungary, September 2005.
106. Stephen A. Edwards and Olivier Tardieu. SHIM: A Deterministic Model for Heterogeneous Embedded Systems. In Proceedings of the International Conference on Embedded Software (Emsoft), pages 37-44, Jersey City, New Jersey, September 2005.
107. Marcio Buss and Stephen A. Edwards and Bin Yao and Daniel Waddington. Pointer Analysis for C Programs Through AST Traversal. Columbia University, Department of Computer Science CUCS-028-05. August 2005.
108. Christopher L. Conway and Kedar S. Namjoshi and Dennis Dams and Stephen A. Edwards. Incremental Algorithms for Inter-procedural Analysis of Safety Properties. Columbia University, Department of Computer Science CUCS-018-05. July 2005.
109. Stephen A. Edwards and Olivier Tardieu. Deterministic Receptive Processes are Kahn Processes. In Proceedings of the International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 37-44, Verona, Italy, July 2005.
110. Christopher L. Conway and Kedar S. Namjoshi and Dennis Dams and Stephen A. Edwards. Incremental Algorithms for Inter-procedural Analysis of Safety Properties. In Proceedings of the 17th International Conference on Computer-Aided Verification (CAV), pages 449-461, Edinburgh, Scotland, June 2005.
111. Cristian Soviani and Stephen A. Edwards. Challenges in Synthesizing Fast Control-Dominated Circuits. In Proceedings of the International Workshop on Logic Synthesis (IWLS), pages 326-332, Lake Arrowhead, California, June 2005.
112. Cristian Soviani and Olivier Tardieu and Stephen A. Edwards. High-Level Optimization by Combining Retiming and Shannon Decomposition. In Proceedings of the International Workshop on Logic Synthesis (IWLS), pages 16-23, Lake Arrowhead, California, June 2005.
113. Stephen A. Edwards. SHIM: A Language for Hardware/Software Integration. In Proceedings of Synchronous Languages, Applications, and Programming (SLAP), Edinburgh, Scotland, April 2005.
114. Stephen A. Edwards. The Challenges of Hardware Synthesis from C-like Languages. In Proceedings of Design, Automation, and Test in Europe (DATE), pages 66-67, Munich, Germany, March 2005. Invited.
115. Stephen A. Edwards. Languages for Embedded Systems. In Richard Zurawski, ed. The Embedded Systems Handbook. pages 7-1-7-19, CRC Press, Boca Raton, Florida, 2005.
116. Stephen A. Edwards and Chun Li. Determining Interfaces using Type Inference. Columbia University, Department of Computer Science CUCS-052-04. December 2004.
117. Stephen A. Edwards. Languages for Embedded Systems. In Richard Zurawski, ed. The Industrial Information Technology Handbook. pages 85-1-85-18, CRC Press, Boca Raton, Florida, 2004.
118. Stephen A. Edwards. SHIM: A Language for Hardware/Software Integration. In Proceedings of SYNCHRON, Schloss Dagstuhl, Germany, December 2004.
119. Cristian Soviani and Jia Zeng and Stephen A. Edwards. Sequential Challenges in Synthesizing Esterel. Columbia University, Department of Computer Science CUCS-051-04. December 2004.
120. Stephen A. Edwards. Design and Verification Languages. Columbia University, Department of Computer Science CUCS-046-04. November 2004.
121. Hanoril Estevez and Stephen A. Edwards. Live CD Cluster Performance. Columbia University, Department of Computer Science CUCS-037-04. October 2004.
122. Christopher L. Conway and Stephen A. Edwards. NDL: A Domain-Specific Language for Device Drivers. In Proceedings of Languages, Compilers, and Tools for Embedded Systems (LCTES), pages 30-36, Washington, DC, June 2004.
123. Stephen A. Edwards. The Challenges of Hardware Synthesis from C-like Languages. In Proceedings of the International Workshop on Logic Synthesis (IWLS), pages 509-516, Temecula, California, June 2004.
124. Jia Zeng and Cristian Soviani and Stephen A. Edwards. Generating Fast Code from Concurrent Program Dependence Graphs. In Proceedings of Languages, Compilers, and Tools for Embedded Systems (LCTES), pages 175-181, Washington, DC, June 2004.
125. Stephen A. Edwards and Vimal Kapadia and Michael Halas. Compiling Esterel into Static Discrete-Event Code. In Proceedings of Synchronous Languages, Applications, and Programming (SLAP), pages 107-121, Barcelona, Spain, March 2004.
126. Cristian Soviani and Jia Zeng and Stephen A. Edwards. Improved Controller Synthesis from Esterel. Columbia University, Department of Computer Science CUCS-015-04. March 2004.
127. Stephen A. Edwards and Edward A. Lee. The Semantics and Execution of a Synchronous Block-Diagram Language. Science of Computer Programming 48(1):21-42, July 2003.
128. Stephen A. Edwards. Making Cyclic Circuits Acyclic. In Proceedings of the 40th Design Automation Conference, pages 159-162, Anaheim, California, June 2003.
129. Stephen A. Edwards. Design Languages for Embedded Systems. Columbia University, Department of Computer Science CUCS-009-03. May 2003.
130. Stephen A. Edwards. Tutorial: Compiling Concurrent Languages for Sequential Processors. ACM Transactions on Design Automation of Electronic Systems 8(2):141-187, April 2003.
131. Stephen Jan and Paolo de Dios and Stephen A. Edwards. Porting a Network Cryptographic Service to the RMC2000: A Case Study in Embedded Software Development. In Designers' Forum: Design Automation and Test in Europe Conference and Exhibition, pages 150-155, Munich, Germany, March 2003. Also appears as Chapter 13 of \emphEmbedded Software for SoC, Jerraya, Yoo, Verkest and Wehn eds., Kluwer, 2003.
132. Albert Benveniste and Paul Caspi and Stephen A. Edwards and Nicolas Halbwachs and Paul Le Guernic and Robert de Simone. The Synchronous Languages 12 Years Later. Proceedings of the IEEE 91(1):64-83, January 2003.
133. Sandeep Shukla and Stephen A. Edwards and Jean-Pierre Talpin and Rajesh K. Gupta. Tutorial: High level modeling and validation methodologies for embedded systems: bridging the productivity gap. In Proceedings of the 16th International Conference on VLSI Design, pages 9-14, New Delhi, India, January 2003.
134. Stephen A. Edwards. High-level Synthesis from the Synchronous Language Esterel. In Proceedings of the International Workshop on Logic Synthesis (IWLS), New Orleans, Louisiana, June 2002.
135. Stephen A. Edwards. ESUIF: An Open Esterel Compiler. In Proceedings of Synchronous Languages, Applications, and Programming (SLAP), pages 71, Grenoble, France, April 2002.
136. Stephen A. Edwards. An Esterel Compiler for Large Control-Dominated Systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 21(2):169-183, February 2002.
137. Stephen A. Edwards and Tony Ma and Robert Damiano. Using a Hardware Model Checker to Verify Software. In Proceedings of the 4th International Conference on ASIC (ASICON), pages 85-90, Shanghai, China, October 2001.
138. Book coverStephen A. Edwards. Languages for Digital Embedded Systems. Kluwer, Boston, Massachusetts, 2000.
139. Stephen A. Edwards. Compiling Esterel into Sequential Code. In Proceedings of the 37th Design Automation Conference, pages 322-327, Los Angeles, California, June 2000.
140. Stephen A. Edwards. Compiling Esterel into Sequential Code. In Proceedings of the 7th International Workshop on Hardware/Software Codesign (CODES), pages 147-151, Rome, Italy, May 1999.
141. Gitanjali Swamy and Stephen Edwards and Robert Brayton. Efficient Verification and Synthesis using Design Commonalities. In Proceedings of the Eleventh International Conference on VLSI Design (VLSI'98), pages 542-551, Chennai, India, January 1998.
142. Stephen Anthony Edwards. The Specification and Execution of Heterogeneous Synchronous Reactive Systems. PhD Thesis, University of California, Berkeley. May 1997. Available as UCB/ERL M97/31.
143. Gitanjali Swamy and Stephen Edwards and Robert Brayton. Efficient Verification and Synthesis using Design Commonalities. In Proceedings of the International Workshop on Logic Synthesis (IWLS), Tahoe City, California, May 1997.
144. Stephen Edwards and Luciano Lavagno and Edward A. Lee and Alberto Sangiovanni-Vincentelli. Design of Embedded Systems: Formal Models, Validation, and Synthesis. Proceedings of the IEEE 85(3):366-390, March 1997.
145. Robert K. Brayton and Gary D. Hachtel and Alberto L. Sangiovanni-Vincentelli and Fabio Somenzi and Adnan Aziz and Szu-Tsung Cheng and Stephen A. Edwards and Sunil P. Khatri and Yuji Kukimoto and Abelardo Pardo and Shaz Qadeer and Rajeev K. Ranjan and Shaker Sarwary and Thomas R. Shiple and Gitanjali Swamy and Tiziano Villa. VIS. In Formal Methods in Computer-Aided Design (FMCAD), pages 248-256, Palo Alto, California, November 1996.
146. Arlindo L. Oliveira and Stephen Edwards. Limits of Exact Algorithms for Inference of Minimum Size Finite State Machines. In Proceedings of the Seventh Annual Workshop on Algorithmic Learning Theory (ALT), pages 59-66, Sydney, Australia, October 1996.
147. Robert K. Brayton and Gary D. Hachtel and Alberto Sangiovanni-Vincentelli and Fabio Somenzi and Adnan Aziz and Szu-Tsung Cheng and Stephen Edwards and Sunil Khatri and Yuji Kukimoto and Abelardo Pardo and Shaz Qadeer and Rajeev K. Ranjan and Shaker Sarwary and Thomas R. Shiple and Gitanjali Swamy and Tiziano Villa.. VIS: A System for Verification and Synthesis. In Proceedings of the 8th International Conference on Computer-Aided Verification (CAV), pages 428-432, New Brunswick, New Jersey, July 1996.
148. Stephen Edwards. An Esterel Compiler for a Synchronous/Reactive Development System. Masters Thesis, University of California, Berkeley. June 1994. Available as UCB/ERL M94/43.