embedded system/ 0040777 0000000 0000000 00000000000 13466637524 011072 5 ustar 00 embedded system/hardware/ 0040777 0000000 0000000 00000000000 13466640056 012661 5 ustar 00 embedded system/hardware/background.mif 0100777 0000000 0000000 00002004477 13466631477 015522 0 ustar 00 WIDTH = 16;
DEPTH = 40000;
ADDRESS_RADIX = HEX;
DATA_RADIX = DEC;
CONTENT BEGIN
0 : 1;
1 : 16;
2 : -5;
3 : 3;
4 : -5;
5 : 82;
6 : 1145;
7 : 1685;
8 : 1085;
9 : 218;
a : -364;
b : -1190;
c : -2309;
d : -3365;
e : -3887;
f : -3880;
10 : -4496;
11 : -5010;
12 : -4917;
13 : -5074;
14 : -5354;
15 : -5068;
16 : -5860;
17 : -5375;
18 : -6504;
19 : -8196;
1a : -4040;
1b : -5022;
1c : 485;
1d : -2295;
1e : -2888;
1f : 6701;
20 : 4066;
21 : 7283;
22 : 7612;
23 : 8281;
24 : 8260;
25 : 8516;
26 : 8285;
27 : 8290;
28 : 8196;
29 : 8226;
2a : 8021;
2b : 7985;
2c : 7821;
2d : 7768;
2e : 7540;
2f : 6800;
30 : 6785;
31 : 6399;
32 : 5366;
33 : 4983;
34 : 5482;
35 : 6835;
36 : 6976;
37 : 7048;
38 : 7183;
39 : 7002;
3a : 6130;
3b : 4282;
3c : -804;
3d : -2071;
3e : -1113;
3f : -1772;
40 : -2143;
41 : -6659;
42 : -6127;
43 : -4461;
44 : -5557;
45 : -5313;
46 : -7748;
47 : -8058;
48 : -7756;
49 : -8931;
4a : -7166;
4b : -8106;
4c : -8529;
4d : -7869;
4e : -7541;
4f : -6824;
50 : -6565;
51 : -7907;
52 : -8201;
53 : -7727;
54 : -7431;
55 : -7752;
56 : -7408;
57 : -7557;
58 : -7691;
59 : -7673;
5a : -8096;
5b : -8277;
5c : -7986;
5d : -8025;
5e : -7721;
5f : -7362;
60 : -7408;
61 : -6915;
62 : -6651;
63 : -4818;
64 : -4092;
65 : -2468;
66 : -2703;
67 : -2693;
68 : -1085;
69 : -2234;
6a : -930;
6b : -152;
6c : -183;
6d : 1839;
6e : 1997;
6f : 2117;
70 : 4722;
71 : 5383;
72 : 5014;
73 : 6509;
74 : 6592;
75 : 7743;
76 : 8473;
77 : 8898;
78 : 9401;
79 : 8422;
7a : 8645;
7b : 9371;
7c : 8546;
7d : 8185;
7e : 7622;
7f : 7374;
80 : 7712;
81 : 6737;
82 : 7501;
83 : 9039;
84 : 8858;
85 : 9480;
86 : 9325;
87 : 8610;
88 : 8335;
89 : 8720;
8a : 8900;
8b : 8648;
8c : 8656;
8d : 8321;
8e : 8467;
8f : 8680;
90 : 9033;
91 : 8445;
92 : 7414;
93 : 6076;
94 : 5376;
95 : 4938;
96 : 4544;
97 : 4787;
98 : 4080;
99 : 2775;
9a : 1661;
9b : 1354;
9c : 830;
9d : 1482;
9e : 1427;
9f : 1641;
a0 : 540;
a1 : 968;
a2 : 942;
a3 : 9;
a4 : -393;
a5 : -2065;
a6 : -3405;
a7 : -4313;
a8 : -4733;
a9 : -5883;
aa : -6780;
ab : -7368;
ac : -8004;
ad : -8310;
ae : -8701;
af : -9109;
b0 : -10279;
b1 : -9806;
b2 : -9347;
b3 : -8999;
b4 : -9077;
b5 : -8497;
b6 : -8269;
b7 : -7076;
b8 : -6942;
b9 : -5875;
ba : -5783;
bb : -5223;
bc : -4628;
bd : -4372;
be : -4714;
bf : -4399;
c0 : -4622;
c1 : -4420;
c2 : -3685;
c3 : -3319;
c4 : -2689;
c5 : -3107;
c6 : -2560;
c7 : -3043;
c8 : -2752;
c9 : -2104;
ca : -720;
cb : 1749;
cc : -997;
cd : -2191;
ce : -1351;
cf : -1706;
d0 : 1401;
d1 : 6722;
d2 : 2624;
d3 : -199;
d4 : -3279;
d5 : -4975;
d6 : -3428;
d7 : 1865;
d8 : 4375;
d9 : 10889;
da : 11407;
db : 6361;
dc : -1781;
dd : -9272;
de : -10398;
df : -8148;
e0 : 1587;
e1 : 2906;
e2 : 4275;
e3 : 1738;
e4 : -2373;
e5 : -4058;
e6 : -4277;
e7 : -5408;
e8 : -2785;
e9 : 1367;
ea : 1059;
eb : 1918;
ec : 4905;
ed : 1602;
ee : 473;
ef : -1798;
f0 : -7676;
f1 : -10260;
f2 : -8509;
f3 : -1859;
f4 : 3914;
f5 : 5330;
f6 : 5806;
f7 : 5437;
f8 : -3611;
f9 : -6203;
fa : -9367;
fb : -8641;
fc : -4286;
fd : 4210;
fe : 7706;
ff : 5256;
100 : 4577;
101 : 2819;
102 : -299;
103 : -1854;
104 : 2028;
105 : 5869;
106 : 7625;
107 : 10764;
108 : 7970;
109 : 6712;
10a : 7835;
10b : 3883;
10c : -408;
10d : -4986;
10e : -6100;
10f : -164;
110 : 5655;
111 : 7192;
112 : 10090;
113 : 7075;
114 : 7363;
115 : 1512;
116 : -5087;
117 : -10421;
118 : -10404;
119 : -2474;
11a : 2792;
11b : 6110;
11c : 6322;
11d : 1562;
11e : -4832;
11f : -5959;
120 : -6182;
121 : -3997;
122 : 4090;
123 : 6155;
124 : 3926;
125 : 5185;
126 : 514;
127 : -1755;
128 : -1353;
129 : -4485;
12a : -7083;
12b : -5770;
12c : -4741;
12d : 1898;
12e : -463;
12f : 1531;
130 : 2564;
131 : 2758;
132 : 78;
133 : -7045;
134 : -9083;
135 : -10950;
136 : -6426;
137 : 886;
138 : 1771;
139 : -2345;
13a : -3048;
13b : -4652;
13c : -7675;
13d : -5044;
13e : -321;
13f : -1190;
140 : 1557;
141 : -610;
142 : -4634;
143 : -4913;
144 : -3431;
145 : -598;
146 : -257;
147 : -4338;
148 : -2148;
149 : -2986;
14a : 2164;
14b : 4048;
14c : 3792;
14d : 6941;
14e : 3911;
14f : 2802;
150 : -2004;
151 : -5881;
152 : -5389;
153 : -110;
154 : 3994;
155 : 4987;
156 : 5542;
157 : 2388;
158 : 2252;
159 : 2913;
15a : 546;
15b : 5207;
15c : 5711;
15d : 3917;
15e : 2210;
15f : 1537;
160 : 3092;
161 : 6955;
162 : 7317;
163 : 7548;
164 : 2222;
165 : -424;
166 : 2868;
167 : 4239;
168 : 5483;
169 : 5828;
16a : 11804;
16b : 12656;
16c : 9023;
16d : 4927;
16e : 448;
16f : -5285;
170 : -1906;
171 : 4362;
172 : 5284;
173 : 7433;
174 : 8807;
175 : 3791;
176 : 3029;
177 : 1087;
178 : 307;
179 : 928;
17a : -175;
17b : -350;
17c : 2240;
17d : 2300;
17e : 4406;
17f : 4047;
180 : -1348;
181 : -4485;
182 : -9126;
183 : -4809;
184 : -4107;
185 : -1327;
186 : 2567;
187 : 3104;
188 : 3931;
189 : 308;
18a : -7961;
18b : -13647;
18c : -14356;
18d : -10343;
18e : -3611;
18f : 2520;
190 : -1071;
191 : -2405;
192 : -2878;
193 : -8422;
194 : -10266;
195 : -7015;
196 : -4574;
197 : -2763;
198 : -2642;
199 : -3691;
19a : -4451;
19b : -4610;
19c : -842;
19d : -5770;
19e : -6140;
19f : -9167;
1a0 : -5477;
1a1 : 1939;
1a2 : 1687;
1a3 : 3394;
1a4 : 7114;
1a5 : 4207;
1a6 : -1974;
1a7 : -5782;
1a8 : -10371;
1a9 : -11319;
1aa : -2075;
1ab : 4778;
1ac : 6806;
1ad : 8298;
1ae : 4026;
1af : -147;
1b0 : -2945;
1b1 : -2696;
1b2 : 1730;
1b3 : 6755;
1b4 : 7988;
1b5 : 8815;
1b6 : 5094;
1b7 : 7525;
1b8 : 5501;
1b9 : 5212;
1ba : 1175;
1bb : -1699;
1bc : 1446;
1bd : 4162;
1be : 8035;
1bf : 9538;
1c0 : 8104;
1c1 : 7422;
1c2 : 5766;
1c3 : 1262;
1c4 : -3349;
1c5 : -5209;
1c6 : -5499;
1c7 : -1294;
1c8 : 6352;
1c9 : 5459;
1ca : 3804;
1cb : 248;
1cc : -2487;
1cd : -3778;
1ce : -1772;
1cf : 315;
1d0 : 2211;
1d1 : -1366;
1d2 : -1182;
1d3 : -2930;
1d4 : -1984;
1d5 : -85;
1d6 : -482;
1d7 : -541;
1d8 : -4439;
1d9 : -5767;
1da : -1374;
1db : -711;
1dc : -2408;
1dd : 152;
1de : 862;
1df : 222;
1e0 : -1171;
1e1 : -5736;
1e2 : -12771;
1e3 : -10060;
1e4 : -5375;
1e5 : -1157;
1e6 : 197;
1e7 : 118;
1e8 : -3145;
1e9 : -2329;
1ea : -5413;
1eb : -5030;
1ec : -2631;
1ed : 376;
1ee : -520;
1ef : -244;
1f0 : 219;
1f1 : -1384;
1f2 : 5539;
1f3 : 7122;
1f4 : 2386;
1f5 : 1547;
1f6 : 62;
1f7 : -1688;
1f8 : 676;
1f9 : -128;
1fa : 460;
1fb : 3461;
1fc : 7386;
1fd : 2576;
1fe : 1790;
1ff : -2154;
200 : -4929;
201 : -348;
202 : 4755;
203 : 4161;
204 : 5934;
205 : 4907;
206 : 2725;
207 : -1141;
208 : 1049;
209 : 3449;
20a : 3817;
20b : 5424;
20c : 2386;
20d : 4850;
20e : 6670;
20f : 8844;
210 : 9471;
211 : 4849;
212 : -2621;
213 : -4857;
214 : -2603;
215 : -1504;
216 : -1505;
217 : 3724;
218 : 3128;
219 : 3281;
21a : 973;
21b : -5076;
21c : -11986;
21d : -11439;
21e : -7252;
21f : -741;
220 : 667;
221 : 430;
222 : -2629;
223 : -5790;
224 : -7275;
225 : -7890;
226 : -3074;
227 : -4835;
228 : -3037;
229 : -1622;
22a : -1575;
22b : -744;
22c : 2930;
22d : 337;
22e : -3257;
22f : -6518;
230 : -7000;
231 : -4498;
232 : -910;
233 : -2493;
234 : -65;
235 : 3059;
236 : 1986;
237 : -1124;
238 : -4262;
239 : -10303;
23a : -8433;
23b : -1926;
23c : 1249;
23d : 4041;
23e : 2207;
23f : 3074;
240 : 389;
241 : 11;
242 : -871;
243 : 3669;
244 : 6753;
245 : 6450;
246 : 6889;
247 : 7525;
248 : 6481;
249 : 7612;
24a : 8692;
24b : 3628;
24c : 229;
24d : 3157;
24e : 4541;
24f : 5615;
250 : 7479;
251 : 7524;
252 : 7164;
253 : 7297;
254 : 3240;
255 : -697;
256 : -3202;
257 : -4863;
258 : 1030;
259 : 3634;
25a : 5192;
25b : 1917;
25c : 927;
25d : -2117;
25e : -4999;
25f : -624;
260 : 1849;
261 : 1577;
262 : 2024;
263 : -178;
264 : -4577;
265 : -2092;
266 : 1124;
267 : -917;
268 : -2089;
269 : -5287;
26a : -7107;
26b : -2932;
26c : -2612;
26d : -3641;
26e : -1775;
26f : -1284;
270 : -1786;
271 : -2295;
272 : -9364;
273 : -12107;
274 : -14497;
275 : -7568;
276 : -3453;
277 : -369;
278 : 233;
279 : -2612;
27a : -1934;
27b : -4289;
27c : -4424;
27d : -2229;
27e : -1566;
27f : -2831;
280 : -1514;
281 : -517;
282 : 1313;
283 : 4191;
284 : 3821;
285 : -711;
286 : -1854;
287 : -2819;
288 : -852;
289 : 379;
28a : 521;
28b : 2531;
28c : 6575;
28d : 4544;
28e : 5384;
28f : 365;
290 : -3240;
291 : -1646;
292 : 1548;
293 : 5218;
294 : 5169;
295 : 6894;
296 : 5914;
297 : 3682;
298 : 2160;
299 : 3276;
29a : 2163;
29b : 3600;
29c : 3289;
29d : 2207;
29e : 3801;
29f : 7214;
2a0 : 8463;
2a1 : 8017;
2a2 : 4205;
2a3 : -665;
2a4 : -1751;
2a5 : -1168;
2a6 : -2719;
2a7 : 1227;
2a8 : 1389;
2a9 : 4143;
2aa : 3534;
2ab : 36;
2ac : -4146;
2ad : -10126;
2ae : -8218;
2af : -6342;
2b0 : -51;
2b1 : 3131;
2b2 : 1000;
2b3 : -584;
2b4 : -2248;
2b5 : -8484;
2b6 : -6702;
2b7 : -3938;
2b8 : -4319;
2b9 : -3051;
2ba : -671;
2bb : -2694;
2bc : 590;
2bd : 3049;
2be : -318;
2bf : -4073;
2c0 : -6793;
2c1 : -7856;
2c2 : -3029;
2c3 : -3232;
2c4 : -2489;
2c5 : -884;
2c6 : -313;
2c7 : 2025;
2c8 : -945;
2c9 : -2836;
2ca : -9191;
2cb : -5750;
2cc : 389;
2cd : 3183;
2ce : 4126;
2cf : 5451;
2d0 : 1566;
2d1 : -623;
2d2 : -138;
2d3 : 508;
2d4 : 3020;
2d5 : 4511;
2d6 : 4564;
2d7 : 4851;
2d8 : 6377;
2d9 : 8301;
2da : 9386;
2db : 7372;
2dc : 2962;
2dd : 1791;
2de : 525;
2df : 1902;
2e0 : 3322;
2e1 : 2029;
2e2 : 6264;
2e3 : 6548;
2e4 : 8296;
2e5 : 4603;
2e6 : 925;
2e7 : -1768;
2e8 : -1911;
2e9 : 957;
2ea : 4532;
2eb : 2944;
2ec : 1031;
2ed : 205;
2ee : -3986;
2ef : -4435;
2f0 : -1518;
2f1 : 698;
2f2 : 336;
2f3 : 711;
2f4 : -1449;
2f5 : -3988;
2f6 : -2327;
2f7 : -2395;
2f8 : -1408;
2f9 : -3956;
2fa : -7274;
2fb : -3995;
2fc : -5382;
2fd : -4741;
2fe : -5992;
2ff : -3666;
300 : -4476;
301 : -3145;
302 : -2000;
303 : -6544;
304 : -10165;
305 : -7362;
306 : -6362;
307 : -5479;
308 : -1556;
309 : -3533;
30a : -3459;
30b : -4284;
30c : -4261;
30d : -2661;
30e : -243;
30f : -499;
310 : -1796;
311 : -2008;
312 : -2614;
313 : 1026;
314 : 4572;
315 : 1717;
316 : 881;
317 : -2748;
318 : -368;
319 : 1466;
31a : 2590;
31b : 2168;
31c : 3402;
31d : 8012;
31e : 8499;
31f : 5775;
320 : 2591;
321 : -711;
322 : -1663;
323 : 4259;
324 : 6649;
325 : 7202;
326 : 6802;
327 : 6882;
328 : 3343;
329 : 3768;
32a : 5842;
32b : 5194;
32c : 5076;
32d : 4472;
32e : 3787;
32f : 5197;
330 : 6834;
331 : 9786;
332 : 6781;
333 : 4403;
334 : 1144;
335 : 1435;
336 : 1346;
337 : -775;
338 : 2080;
339 : 3415;
33a : 4524;
33b : 5127;
33c : 2840;
33d : -4864;
33e : -8190;
33f : -8585;
340 : -6302;
341 : -2876;
342 : 589;
343 : -894;
344 : -1289;
345 : -3501;
346 : -6102;
347 : -6171;
348 : -6546;
349 : -6970;
34a : -5251;
34b : -6982;
34c : -4005;
34d : -1389;
34e : -1399;
34f : -1860;
350 : -5311;
351 : -7845;
352 : -7602;
353 : -3636;
354 : -3438;
355 : -3077;
356 : -1178;
357 : 600;
358 : -1494;
359 : -1534;
35a : -5735;
35b : -8762;
35c : -6709;
35d : -2098;
35e : 1120;
35f : 3365;
360 : 4122;
361 : 489;
362 : -2998;
363 : -3754;
364 : -3243;
365 : 625;
366 : 581;
367 : 1761;
368 : 2241;
369 : 2416;
36a : 7148;
36b : 7813;
36c : 5713;
36d : 1621;
36e : 2587;
36f : 3984;
370 : 3680;
371 : 4287;
372 : 5976;
373 : 4188;
374 : 5845;
375 : 7339;
376 : 4585;
377 : 644;
378 : 596;
379 : 390;
37a : 2251;
37b : 6699;
37c : 5789;
37d : 3790;
37e : 1158;
37f : -3187;
380 : -2250;
381 : -1565;
382 : -254;
383 : 1902;
384 : 324;
385 : 1012;
386 : 1759;
387 : 3029;
388 : -436;
389 : -1926;
38a : -2371;
38b : -3684;
38c : -2844;
38d : 309;
38e : -1431;
38f : -2822;
390 : -3501;
391 : -5870;
392 : -6090;
393 : -3839;
394 : -2893;
395 : -3801;
396 : -1996;
397 : -2085;
398 : -3309;
399 : -4054;
39a : -6552;
39b : -6981;
39c : -6804;
39d : -5258;
39e : 394;
39f : 191;
3a0 : 1363;
3a1 : 841;
3a2 : -686;
3a3 : -1900;
3a4 : -986;
3a5 : 95;
3a6 : -387;
3a7 : -649;
3a8 : 1012;
3a9 : 2381;
3aa : 3048;
3ab : 3437;
3ac : 966;
3ad : -2188;
3ae : -903;
3af : 3195;
3b0 : 5700;
3b1 : 7097;
3b2 : 5430;
3b3 : 2976;
3b4 : 2301;
3b5 : 1495;
3b6 : 1891;
3b7 : 1415;
3b8 : -1468;
3b9 : 633;
3ba : 1868;
3bb : 6087;
3bc : 9005;
3bd : 9158;
3be : 7000;
3bf : 4815;
3c0 : 5294;
3c1 : 3851;
3c2 : 1650;
3c3 : 1734;
3c4 : 1466;
3c5 : 1251;
3c6 : 4431;
3c7 : 4054;
3c8 : 4;
3c9 : -1628;
3ca : -2522;
3cb : -2062;
3cc : 2269;
3cd : 3321;
3ce : 77;
3cf : -2640;
3d0 : -5765;
3d1 : -7252;
3d2 : -4831;
3d3 : -6305;
3d4 : -4113;
3d5 : -3588;
3d6 : -3439;
3d7 : -1063;
3d8 : 231;
3d9 : -385;
3da : -3087;
3db : -2719;
3dc : -4216;
3dd : -5729;
3de : -4360;
3df : -3449;
3e0 : -5386;
3e1 : -4588;
3e2 : -4235;
3e3 : -5343;
3e4 : -3885;
3e5 : -2985;
3e6 : -3850;
3e7 : -1896;
3e8 : 59;
3e9 : -15;
3ea : -1225;
3eb : -3799;
3ec : -6817;
3ed : -6288;
3ee : -5884;
3ef : -1520;
3f0 : 650;
3f1 : 717;
3f2 : 1866;
3f3 : 474;
3f4 : 1231;
3f5 : 1568;
3f6 : 2976;
3f7 : 3790;
3f8 : 3314;
3f9 : 2638;
3fa : 3797;
3fb : 3280;
3fc : 3797;
3fd : 4870;
3fe : 2617;
3ff : 3513;
400 : 5787;
401 : 5720;
402 : 6452;
403 : 6405;
404 : 5206;
405 : 4566;
406 : 5190;
407 : 3515;
408 : 2448;
409 : -328;
40a : -609;
40b : 1591;
40c : 3584;
40d : 6619;
40e : 5037;
40f : 3092;
410 : 322;
411 : 1364;
412 : 2209;
413 : 1130;
414 : 955;
415 : 1068;
416 : -769;
417 : 41;
418 : 1583;
419 : -1496;
41a : -3061;
41b : -3246;
41c : -3842;
41d : -1417;
41e : 663;
41f : -124;
420 : -1597;
421 : -3488;
422 : -5439;
423 : -3493;
424 : -4690;
425 : -6527;
426 : -5608;
427 : -6796;
428 : -3714;
429 : -2317;
42a : -1603;
42b : -3888;
42c : -4661;
42d : -3177;
42e : -2428;
42f : -1335;
430 : -769;
431 : -2315;
432 : -4204;
433 : -3436;
434 : -3879;
435 : -2618;
436 : -991;
437 : -1406;
438 : -712;
439 : 1579;
43a : 2176;
43b : 839;
43c : 686;
43d : -1762;
43e : -2244;
43f : -23;
440 : 2295;
441 : 4764;
442 : 3112;
443 : 3222;
444 : 1212;
445 : 1347;
446 : 2099;
447 : 1900;
448 : 3715;
449 : 3100;
44a : 2383;
44b : 4758;
44c : 5366;
44d : 4280;
44e : 6198;
44f : 4063;
450 : 2155;
451 : 3526;
452 : 4012;
453 : 3730;
454 : 3657;
455 : 4040;
456 : 2706;
457 : 3554;
458 : 2599;
459 : 940;
45a : -103;
45b : -3397;
45c : -1252;
45d : -330;
45e : 1439;
45f : 1213;
460 : -776;
461 : -2464;
462 : -3308;
463 : -1646;
464 : -2040;
465 : -2774;
466 : -3560;
467 : -2881;
468 : -2198;
469 : 633;
46a : -258;
46b : -1937;
46c : -3578;
46d : -4344;
46e : -3691;
46f : -2994;
470 : -2982;
471 : -4710;
472 : -5093;
473 : -4346;
474 : -3229;
475 : -1360;
476 : -2348;
477 : -1655;
478 : -3279;
479 : -3389;
47a : -1028;
47b : -1684;
47c : -2788;
47d : -4881;
47e : -3372;
47f : -1916;
480 : -309;
481 : 663;
482 : 887;
483 : -1958;
484 : -1378;
485 : 67;
486 : 509;
487 : 2248;
488 : 3380;
489 : 3613;
48a : 3519;
48b : 4652;
48c : 2366;
48d : 1007;
48e : 624;
48f : -149;
490 : 3538;
491 : 4926;
492 : 6200;
493 : 6539;
494 : 3705;
495 : 2513;
496 : 2011;
497 : 3375;
498 : 2335;
499 : 3797;
49a : 3502;
49b : 2522;
49c : 3633;
49d : 5382;
49e : 3493;
49f : 2758;
4a0 : 1821;
4a1 : 77;
4a2 : 1543;
4a3 : 3450;
4a4 : 3443;
4a5 : 2378;
4a6 : 1684;
4a7 : -707;
4a8 : -1925;
4a9 : -1979;
4aa : -3427;
4ab : -2916;
4ac : -3831;
4ad : -3239;
4ae : -800;
4af : -1100;
4b0 : -26;
4b1 : -1868;
4b2 : -3567;
4b3 : -5159;
4b4 : -3658;
4b5 : -3715;
4b6 : -4287;
4b7 : -5376;
4b8 : -4484;
4b9 : -3412;
4ba : -2342;
4bb : -1013;
4bc : -2478;
4bd : -4229;
4be : -3699;
4bf : -1652;
4c0 : -1823;
4c1 : -639;
4c2 : -1394;
4c3 : -4420;
4c4 : -4810;
4c5 : -4661;
4c6 : -2779;
4c7 : -1956;
4c8 : -2384;
4c9 : -926;
4ca : -1601;
4cb : 588;
4cc : 1594;
4cd : 1389;
4ce : -860;
4cf : -1831;
4d0 : 771;
4d1 : 2691;
4d2 : 2962;
4d3 : 3542;
4d4 : 1709;
4d5 : -386;
4d6 : 1125;
4d7 : 2538;
4d8 : 3440;
4d9 : 4873;
4da : 6052;
4db : 5714;
4dc : 6953;
4dd : 4914;
4de : 1259;
4df : 688;
4e0 : -979;
4e1 : 1228;
4e2 : 5125;
4e3 : 5474;
4e4 : 7580;
4e5 : 5108;
4e6 : 3217;
4e7 : 2658;
4e8 : 2068;
4e9 : 726;
4ea : 828;
4eb : 1504;
4ec : 610;
4ed : 1343;
4ee : 2913;
4ef : 2152;
4f0 : -183;
4f1 : -456;
4f2 : -1986;
4f3 : -2800;
4f4 : -939;
4f5 : 1229;
4f6 : 662;
4f7 : 1217;
4f8 : 912;
4f9 : -1594;
4fa : -2620;
4fb : -4706;
4fc : -5707;
4fd : -5345;
4fe : -6684;
4ff : -3539;
500 : -2444;
501 : -2397;
502 : -2031;
503 : -3065;
504 : -3176;
505 : -3623;
506 : -2484;
507 : -2528;
508 : -4515;
509 : -4559;
50a : -1926;
50b : -1950;
50c : -836;
50d : -663;
50e : -3136;
50f : -3529;
510 : -1223;
511 : -700;
512 : 791;
513 : 2211;
514 : -1031;
515 : -1939;
516 : -2214;
517 : -2460;
518 : -268;
519 : -851;
51a : 883;
51b : 1790;
51c : 2540;
51d : 4833;
51e : 3616;
51f : 2052;
520 : 739;
521 : 2761;
522 : 3973;
523 : 3838;
524 : 3507;
525 : 2407;
526 : -545;
527 : 436;
528 : 2704;
529 : 2648;
52a : 3613;
52b : 5535;
52c : 5736;
52d : 6080;
52e : 6665;
52f : 4313;
530 : 1706;
531 : -775;
532 : -2122;
533 : 626;
534 : 525;
535 : 2362;
536 : 3006;
537 : -104;
538 : 763;
539 : -123;
53a : -809;
53b : -2089;
53c : -1672;
53d : -1515;
53e : -1269;
53f : -777;
540 : 387;
541 : -1346;
542 : -2998;
543 : -2894;
544 : -3558;
545 : -2564;
546 : -245;
547 : 393;
548 : -482;
549 : 41;
54a : -1750;
54b : -3422;
54c : -3914;
54d : -6449;
54e : -6435;
54f : -6827;
550 : -5202;
551 : -1967;
552 : -2058;
553 : -402;
554 : -1329;
555 : -1590;
556 : -2740;
557 : -2442;
558 : -1859;
559 : -3404;
55a : -3705;
55b : -1043;
55c : -518;
55d : -340;
55e : 1727;
55f : -576;
560 : -2177;
561 : 749;
562 : 2331;
563 : 3085;
564 : 4848;
565 : 4143;
566 : 1844;
567 : 1669;
568 : 886;
569 : 1843;
56a : 1624;
56b : 559;
56c : 2331;
56d : 1598;
56e : 3432;
56f : 4194;
570 : 3969;
571 : 2822;
572 : 3652;
573 : 5279;
574 : 5301;
575 : 4113;
576 : 3091;
577 : 803;
578 : -1359;
579 : 205;
57a : 656;
57b : 727;
57c : 2353;
57d : 4226;
57e : 5052;
57f : 5203;
580 : 4179;
581 : 19;
582 : -2952;
583 : -3937;
584 : -2844;
585 : -279;
586 : 776;
587 : 2262;
588 : -684;
589 : -1445;
58a : -2059;
58b : -2826;
58c : -3186;
58d : -3685;
58e : -2341;
58f : -1903;
590 : -1305;
591 : -831;
592 : -1480;
593 : -4757;
594 : -5144;
595 : -5240;
596 : -5909;
597 : -3246;
598 : -520;
599 : -467;
59a : 616;
59b : 1882;
59c : -455;
59d : -1720;
59e : -4114;
59f : -6039;
5a0 : -5723;
5a1 : -5604;
5a2 : -2125;
5a3 : -971;
5a4 : -730;
5a5 : -167;
5a6 : 92;
5a7 : -589;
5a8 : -1385;
5a9 : 705;
5aa : -18;
5ab : -823;
5ac : 1232;
5ad : 2914;
5ae : 2915;
5af : 4325;
5b0 : 3078;
5b1 : 324;
5b2 : 796;
5b3 : 2312;
5b4 : 3869;
5b5 : 4792;
5b6 : 5373;
5b7 : 3418;
5b8 : 2378;
5b9 : 2433;
5ba : 1525;
5bb : 2531;
5bc : 1667;
5bd : 2619;
5be : 3277;
5bf : 3420;
5c0 : 4858;
5c1 : 3338;
5c2 : 1797;
5c3 : 526;
5c4 : 1597;
5c5 : 1965;
5c6 : 2138;
5c7 : 1710;
5c8 : 948;
5c9 : -1563;
5ca : -1883;
5cb : -869;
5cc : -2473;
5cd : -2946;
5ce : -993;
5cf : 3480;
5d0 : 4564;
5d1 : 5881;
5d2 : 3011;
5d3 : -345;
5d4 : -6126;
5d5 : -11390;
5d6 : -11382;
5d7 : -7534;
5d8 : -477;
5d9 : 4041;
5da : 4098;
5db : 1257;
5dc : -4598;
5dd : -4539;
5de : -5283;
5df : -3455;
5e0 : 464;
5e1 : 1904;
5e2 : 1274;
5e3 : -1033;
5e4 : -3668;
5e5 : -5854;
5e6 : -7040;
5e7 : -10340;
5e8 : -10092;
5e9 : -7333;
5ea : 975;
5eb : 4229;
5ec : 9226;
5ed : 12316;
5ee : 11277;
5ef : 5132;
5f0 : -8630;
5f1 : -15194;
5f2 : -15264;
5f3 : -9074;
5f4 : 3724;
5f5 : 8699;
5f6 : 8418;
5f7 : 3535;
5f8 : -983;
5f9 : -2210;
5fa : -791;
5fb : 5096;
5fc : 8184;
5fd : 10104;
5fe : 7856;
5ff : 3764;
600 : 1522;
601 : -1784;
602 : -7897;
603 : -10823;
604 : -9754;
605 : 573;
606 : 5347;
607 : 10725;
608 : 14200;
609 : 12010;
60a : 11309;
60b : -1756;
60c : -8631;
60d : -13081;
60e : -12603;
60f : -2413;
610 : 3208;
611 : 5110;
612 : 3645;
613 : -2543;
614 : -599;
615 : -1736;
616 : 4045;
617 : 9563;
618 : 13173;
619 : 12308;
61a : 10069;
61b : 4023;
61c : 174;
61d : -5915;
61e : -9895;
61f : -10353;
620 : -6127;
621 : 109;
622 : 4855;
623 : 11735;
624 : 8989;
625 : 6869;
626 : -1506;
627 : -9333;
628 : -12746;
629 : -14726;
62a : -7078;
62b : 163;
62c : 2365;
62d : 1463;
62e : -5185;
62f : -7710;
630 : -11051;
631 : -9576;
632 : -5547;
633 : -1313;
634 : 2008;
635 : 4225;
636 : -2673;
637 : -5361;
638 : -10603;
639 : -14747;
63a : -12675;
63b : -6682;
63c : 178;
63d : 4029;
63e : 10696;
63f : 13656;
640 : 14354;
641 : 8234;
642 : 700;
643 : -4492;
644 : -8829;
645 : -6610;
646 : 1257;
647 : 5962;
648 : 11055;
649 : 8634;
64a : 5599;
64b : 1950;
64c : 1089;
64d : 3685;
64e : 6348;
64f : 9803;
650 : 15266;
651 : 11685;
652 : 8660;
653 : 2610;
654 : -5732;
655 : -10377;
656 : -9601;
657 : -470;
658 : 887;
659 : 4750;
65a : 6337;
65b : 9034;
65c : 4848;
65d : -299;
65e : -5848;
65f : -11115;
660 : -10858;
661 : -3717;
662 : 334;
663 : 2442;
664 : -880;
665 : -2209;
666 : -4614;
667 : -4306;
668 : -1616;
669 : -310;
66a : 1087;
66b : 2971;
66c : 465;
66d : -1903;
66e : -1803;
66f : -6791;
670 : -7186;
671 : -6190;
672 : -1733;
673 : 199;
674 : 5762;
675 : 4438;
676 : 6912;
677 : 4479;
678 : 0;
679 : -3968;
67a : -8847;
67b : -10577;
67c : -3558;
67d : -184;
67e : 1454;
67f : 941;
680 : -1451;
681 : -5011;
682 : -5651;
683 : -2474;
684 : -409;
685 : 1387;
686 : 4642;
687 : 5764;
688 : 2824;
689 : 419;
68a : -2615;
68b : -2137;
68c : -2635;
68d : 2648;
68e : 3082;
68f : 4456;
690 : 4829;
691 : 7139;
692 : 7544;
693 : 2491;
694 : -3779;
695 : -6695;
696 : -6501;
697 : -538;
698 : 6339;
699 : 8674;
69a : 6123;
69b : 3312;
69c : 1781;
69d : -2454;
69e : -2604;
69f : -2213;
6a0 : -1018;
6a1 : 3810;
6a2 : 8860;
6a3 : 5303;
6a4 : 3819;
6a5 : -1077;
6a6 : -4601;
6a7 : -4939;
6a8 : -2261;
6a9 : 776;
6aa : 2217;
6ab : 3871;
6ac : 3365;
6ad : 6268;
6ae : 3317;
6af : -516;
6b0 : -7537;
6b1 : -10407;
6b2 : -7108;
6b3 : -1307;
6b4 : 1729;
6b5 : 2544;
6b6 : 970;
6b7 : -2161;
6b8 : -5886;
6b9 : -6165;
6ba : -7794;
6bb : -5709;
6bc : -3677;
6bd : 1610;
6be : 948;
6bf : 1185;
6c0 : -1356;
6c1 : -3850;
6c2 : -3513;
6c3 : -3470;
6c4 : -509;
6c5 : 15;
6c6 : 517;
6c7 : -584;
6c8 : 2700;
6c9 : 1501;
6ca : 1390;
6cb : -2770;
6cc : -6047;
6cd : -6618;
6ce : -2447;
6cf : 1066;
6d0 : 4956;
6d1 : 4248;
6d2 : 3265;
6d3 : -219;
6d4 : -1248;
6d5 : -3465;
6d6 : -1937;
6d7 : -208;
6d8 : 4130;
6d9 : 3480;
6da : 4020;
6db : 3403;
6dc : -423;
6dd : 575;
6de : 589;
6df : 3790;
6e0 : 4532;
6e1 : 7254;
6e2 : 5131;
6e3 : 7189;
6e4 : 4992;
6e5 : 3090;
6e6 : -553;
6e7 : -3865;
6e8 : -4831;
6e9 : -1976;
6ea : 1856;
6eb : 2759;
6ec : 3407;
6ed : 4099;
6ee : 481;
6ef : -1234;
6f0 : -4010;
6f1 : -5831;
6f2 : -3665;
6f3 : 2302;
6f4 : 4895;
6f5 : 4294;
6f6 : 5605;
6f7 : -1891;
6f8 : -2809;
6f9 : -4563;
6fa : -3048;
6fb : -1339;
6fc : 722;
6fd : -375;
6fe : 1039;
6ff : 2480;
700 : 511;
701 : -1419;
702 : -4309;
703 : -5495;
704 : -2224;
705 : 761;
706 : 1542;
707 : 753;
708 : -1739;
709 : -3404;
70a : -1387;
70b : -3049;
70c : -4593;
70d : -5182;
70e : -3958;
70f : 69;
710 : 1074;
711 : 3248;
712 : 330;
713 : -988;
714 : -3396;
715 : -2493;
716 : -1874;
717 : 349;
718 : 1381;
719 : 1205;
71a : 2705;
71b : 3753;
71c : 2346;
71d : 819;
71e : -1014;
71f : -1359;
720 : 654;
721 : 1083;
722 : 1752;
723 : 370;
724 : -2105;
725 : -802;
726 : 471;
727 : 310;
728 : 94;
729 : 1235;
72a : 3884;
72b : 2849;
72c : 5800;
72d : 3517;
72e : 641;
72f : -111;
730 : 52;
731 : 1332;
732 : 3453;
733 : 4295;
734 : 1814;
735 : 3460;
736 : 996;
737 : -422;
738 : -1281;
739 : -3704;
73a : -2322;
73b : 1567;
73c : 2659;
73d : 2440;
73e : 1304;
73f : -1004;
740 : -2137;
741 : -2943;
742 : -4916;
743 : -3395;
744 : -1612;
745 : 2164;
746 : 2099;
747 : 3110;
748 : 223;
749 : -4867;
74a : -4380;
74b : -4220;
74c : -3351;
74d : -1839;
74e : 730;
74f : -909;
750 : 1440;
751 : 941;
752 : -700;
753 : -3235;
754 : -5949;
755 : -6319;
756 : -3153;
757 : -575;
758 : 2335;
759 : 1440;
75a : -265;
75b : -1055;
75c : -461;
75d : -3008;
75e : -4013;
75f : -3918;
760 : -367;
761 : 1995;
762 : 3988;
763 : 5266;
764 : 1616;
765 : 781;
766 : 470;
767 : 863;
768 : 463;
769 : 3438;
76a : 1260;
76b : 3668;
76c : 5512;
76d : 4701;
76e : 3956;
76f : 1631;
770 : -1280;
771 : -1107;
772 : 849;
773 : 3313;
774 : 3351;
775 : 2085;
776 : -451;
777 : 82;
778 : -498;
779 : -69;
77a : -166;
77b : 629;
77c : 1347;
77d : 968;
77e : 3385;
77f : -506;
780 : -705;
781 : -1509;
782 : -1032;
783 : 171;
784 : 3845;
785 : 2930;
786 : 2624;
787 : 2994;
788 : 170;
789 : -1032;
78a : -3297;
78b : -5156;
78c : -3441;
78d : -3133;
78e : 38;
78f : 994;
790 : -1378;
791 : -4124;
792 : -3978;
793 : -4830;
794 : -3991;
795 : -1270;
796 : 1403;
797 : 3704;
798 : 2184;
799 : 1974;
79a : -2867;
79b : -4682;
79c : -6316;
79d : -6657;
79e : -4735;
79f : -819;
7a0 : 1528;
7a1 : 3643;
7a2 : 6094;
7a3 : 3033;
7a4 : -773;
7a5 : -4792;
7a6 : -7633;
7a7 : -5166;
7a8 : -606;
7a9 : 4014;
7aa : 6325;
7ab : 3623;
7ac : -72;
7ad : -2560;
7ae : -2532;
7af : -2016;
7b0 : -1264;
7b1 : 629;
7b2 : 3938;
7b3 : 3575;
7b4 : 4396;
7b5 : 894;
7b6 : -2102;
7b7 : -3600;
7b8 : -4884;
7b9 : -2019;
7ba : 2458;
7bb : 6400;
7bc : 6496;
7bd : 10333;
7be : 10195;
7bf : 6110;
7c0 : 2110;
7c1 : -2882;
7c2 : -5388;
7c3 : -3346;
7c4 : 1257;
7c5 : 5167;
7c6 : 3126;
7c7 : -66;
7c8 : -3165;
7c9 : -3243;
7ca : -3300;
7cb : -1264;
7cc : 884;
7cd : 2373;
7ce : 3539;
7cf : 4907;
7d0 : 2143;
7d1 : -1834;
7d2 : -3649;
7d3 : -7460;
7d4 : -6201;
7d5 : -3832;
7d6 : 2465;
7d7 : 4685;
7d8 : 6074;
7d9 : 6144;
7da : 3560;
7db : -678;
7dc : -4548;
7dd : -6834;
7de : -5693;
7df : -2292;
7e0 : 2493;
7e1 : 1741;
7e2 : -952;
7e3 : -4370;
7e4 : -6607;
7e5 : -6272;
7e6 : -3331;
7e7 : 216;
7e8 : 2582;
7e9 : 4952;
7ea : 4236;
7eb : 2063;
7ec : -2354;
7ed : -5751;
7ee : -9735;
7ef : -9235;
7f0 : -6989;
7f1 : -138;
7f2 : 5075;
7f3 : 8006;
7f4 : 10333;
7f5 : 7225;
7f6 : 2875;
7f7 : -1289;
7f8 : -5747;
7f9 : -5328;
7fa : -2495;
7fb : 2395;
7fc : 5179;
7fd : 3637;
7fe : 224;
7ff : -1211;
800 : -1265;
801 : -1581;
802 : 611;
803 : 2305;
804 : 4701;
805 : 6105;
806 : 6354;
807 : 1609;
808 : -1621;
809 : -5656;
80a : -6366;
80b : -5056;
80c : -2388;
80d : 2267;
80e : 5233;
80f : 9553;
810 : 10611;
811 : 8080;
812 : 3668;
813 : -3652;
814 : -6092;
815 : -4534;
816 : -792;
817 : 2882;
818 : 1278;
819 : -1247;
81a : -4173;
81b : -3667;
81c : -1825;
81d : 157;
81e : 370;
81f : 1849;
820 : 2637;
821 : 3363;
822 : 1160;
823 : -3210;
824 : -6927;
825 : -8983;
826 : -7153;
827 : -2823;
828 : 2813;
829 : 3501;
82a : 4782;
82b : 6000;
82c : 2935;
82d : -731;
82e : -4428;
82f : -8336;
830 : -6562;
831 : -2478;
832 : 2154;
833 : 2388;
834 : -513;
835 : -5910;
836 : -6134;
837 : -5228;
838 : -1233;
839 : 2319;
83a : 5225;
83b : 6140;
83c : 5410;
83d : 4683;
83e : -343;
83f : -4072;
840 : -6793;
841 : -6295;
842 : -4756;
843 : 1090;
844 : 4598;
845 : 6100;
846 : 7602;
847 : 6019;
848 : 2552;
849 : -1319;
84a : -5122;
84b : -3781;
84c : -929;
84d : 4690;
84e : 6537;
84f : 5041;
850 : 831;
851 : -811;
852 : -2546;
853 : -1433;
854 : -87;
855 : 1015;
856 : 4809;
857 : 5404;
858 : 5163;
859 : 1641;
85a : -1885;
85b : -5384;
85c : -5437;
85d : -4854;
85e : -1213;
85f : 1842;
860 : 3880;
861 : 7355;
862 : 8429;
863 : 4502;
864 : -113;
865 : -6106;
866 : -7508;
867 : -5027;
868 : 349;
869 : 3428;
86a : 2321;
86b : -1443;
86c : -3115;
86d : -3737;
86e : -3149;
86f : -1072;
870 : -1419;
871 : 779;
872 : 1164;
873 : 3430;
874 : 1605;
875 : -2299;
876 : -4865;
877 : -5530;
878 : -5455;
879 : -1543;
87a : 1226;
87b : 242;
87c : 2262;
87d : 4106;
87e : 2320;
87f : -7;
880 : -5269;
881 : -7393;
882 : -5054;
883 : -696;
884 : 4521;
885 : 4595;
886 : 698;
887 : -2944;
888 : -2824;
889 : -2258;
88a : 1136;
88b : 2388;
88c : 2964;
88d : 2667;
88e : 3856;
88f : 3650;
890 : 913;
891 : -1909;
892 : -3545;
893 : -4253;
894 : -2339;
895 : 2569;
896 : 3945;
897 : 5546;
898 : 6755;
899 : 4624;
89a : 1297;
89b : -2472;
89c : -5583;
89d : -4463;
89e : -882;
89f : 4714;
8a0 : 5565;
8a1 : 4131;
8a2 : 1031;
8a3 : -2154;
8a4 : -3414;
8a5 : -1653;
8a6 : -1859;
8a7 : 369;
8a8 : 1850;
8a9 : 2688;
8aa : 4281;
8ab : 1268;
8ac : -754;
8ad : -2978;
8ae : -4384;
8af : -5092;
8b0 : -2110;
8b1 : 0;
8b2 : 1994;
8b3 : 6064;
8b4 : 6385;
8b5 : 2455;
8b6 : -687;
8b7 : -5095;
8b8 : -5191;
8b9 : -3681;
8ba : 216;
8bb : 2309;
8bc : 229;
8bd : -2295;
8be : -3297;
8bf : -3849;
8c0 : -2536;
8c1 : -2270;
8c2 : -2065;
8c3 : -708;
8c4 : 1154;
8c5 : 3880;
8c6 : 1931;
8c7 : 50;
8c8 : -2710;
8c9 : -3758;
8ca : -3079;
8cb : -335;
8cc : 778;
8cd : 1737;
8ce : 3041;
8cf : 4501;
8d0 : 3414;
8d1 : 1501;
8d2 : -2243;
8d3 : -3885;
8d4 : -3135;
8d5 : 454;
8d6 : 3903;
8d7 : 2144;
8d8 : -610;
8d9 : -2972;
8da : -3225;
8db : -1242;
8dc : 1361;
8dd : 1323;
8de : 2002;
8df : 3019;
8e0 : 5932;
8e1 : 5048;
8e2 : 2325;
8e3 : 8;
8e4 : -3327;
8e5 : -4821;
8e6 : -2015;
8e7 : 1372;
8e8 : 2085;
8e9 : 2907;
8ea : 4424;
8eb : 2989;
8ec : 1890;
8ed : -12;
8ee : -3260;
8ef : -3023;
8f0 : -774;
8f1 : 2971;
8f2 : 4126;
8f3 : 3298;
8f4 : -457;
8f5 : -2771;
8f6 : -3385;
8f7 : -3133;
8f8 : -2932;
8f9 : -1541;
8fa : -924;
8fb : 1801;
8fc : 3242;
8fd : 760;
8fe : -454;
8ff : -2986;
900 : -4696;
901 : -4506;
902 : -1720;
903 : 82;
904 : 2293;
905 : 5011;
906 : 4686;
907 : 2243;
908 : 173;
909 : -4127;
90a : -5480;
90b : -4106;
90c : -811;
90d : 440;
90e : 902;
90f : -576;
910 : -2314;
911 : -2451;
912 : -2339;
913 : -2485;
914 : -2664;
915 : -262;
916 : 1748;
917 : 4382;
918 : 2885;
919 : 1341;
91a : -1245;
91b : -1660;
91c : -1097;
91d : 66;
91e : 983;
91f : 7;
920 : 1375;
921 : 4210;
922 : 3153;
923 : 3006;
924 : 155;
925 : -2409;
926 : -2103;
927 : 724;
928 : 2023;
929 : 1238;
92a : -303;
92b : -1823;
92c : -479;
92d : 1004;
92e : 1887;
92f : 264;
930 : 350;
931 : 377;
932 : 2756;
933 : 2154;
934 : 787;
935 : -1329;
936 : -2758;
937 : -2234;
938 : -546;
939 : 1716;
93a : 1346;
93b : 1192;
93c : 2612;
93d : 2424;
93e : 2841;
93f : 555;
940 : -2121;
941 : -2267;
942 : -1409;
943 : 1428;
944 : 2351;
945 : 703;
946 : -2156;
947 : -2461;
948 : -2130;
949 : -155;
94a : -1224;
94b : -1092;
94c : -1463;
94d : -188;
94e : 297;
94f : -358;
950 : -818;
951 : -2961;
952 : -3299;
953 : -2980;
954 : -1206;
955 : -136;
956 : 568;
957 : 2538;
958 : 2694;
959 : 2291;
95a : 1790;
95b : -1626;
95c : -2454;
95d : -2337;
95e : 282;
95f : 1256;
960 : 1408;
961 : -429;
962 : -989;
963 : -845;
964 : -414;
965 : -821;
966 : -15;
967 : -508;
968 : -204;
969 : 2073;
96a : 646;
96b : 334;
96c : 529;
96d : 1545;
96e : 1225;
96f : 1904;
970 : 1262;
971 : -176;
972 : 866;
973 : 2407;
974 : 2147;
975 : 2095;
976 : -1344;
977 : -2304;
978 : -1528;
979 : 762;
97a : 1569;
97b : 1693;
97c : -431;
97d : -1948;
97e : -372;
97f : 775;
980 : 917;
981 : 47;
982 : 443;
983 : 93;
984 : 2062;
985 : 959;
986 : -240;
987 : -2205;
988 : -2307;
989 : -1385;
98a : 235;
98b : 1178;
98c : -591;
98d : -1506;
98e : 191;
98f : 698;
990 : 1783;
991 : 665;
992 : -1186;
993 : -2192;
994 : -456;
995 : 3061;
996 : 2738;
997 : 1178;
998 : -2332;
999 : -2984;
99a : -2493;
99b : -1233;
99c : -1695;
99d : -1602;
99e : -2329;
99f : -207;
9a0 : 249;
9a1 : -36;
9a2 : -1575;
9a3 : -2645;
9a4 : -2625;
9a5 : -1840;
9a6 : 1311;
9a7 : 2046;
9a8 : 1080;
9a9 : 2467;
9aa : 2343;
9ab : 2721;
9ac : 2180;
9ad : -331;
9ae : -599;
9af : -1358;
9b0 : 829;
9b1 : 1184;
9b2 : 1184;
9b3 : -1350;
9b4 : -1358;
9b5 : -497;
9b6 : 282;
9b7 : 401;
9b8 : 467;
9b9 : -610;
9ba : 946;
9bb : 2350;
9bc : 1391;
9bd : 1674;
9be : 809;
9bf : 280;
9c0 : 110;
9c1 : -32;
9c2 : -350;
9c3 : -1441;
9c4 : -234;
9c5 : 1390;
9c6 : 1828;
9c7 : 2523;
9c8 : 56;
9c9 : 196;
9ca : -527;
9cb : 791;
9cc : 32;
9cd : -1007;
9ce : -2370;
9cf : -961;
9d0 : 4740;
9d1 : 6886;
9d2 : 3485;
9d3 : -1141;
9d4 : -4375;
9d5 : -9133;
9d6 : -10403;
9d7 : -10369;
9d8 : -5793;
9d9 : 1322;
9da : 8766;
9db : 10936;
9dc : 8642;
9dd : 2993;
9de : -2720;
9df : -3566;
9e0 : -1268;
9e1 : 914;
9e2 : 5442;
9e3 : 3435;
9e4 : -1229;
9e5 : -5518;
9e6 : -4773;
9e7 : -4657;
9e8 : -3848;
9e9 : -3895;
9ea : -7333;
9eb : 417;
9ec : 8771;
9ed : 6758;
9ee : 3005;
9ef : 3512;
9f0 : -641;
9f1 : -2295;
9f2 : -6111;
9f3 : -8429;
9f4 : -9368;
9f5 : -5717;
9f6 : 1859;
9f7 : 6856;
9f8 : 5755;
9f9 : 2908;
9fa : 872;
9fb : 2549;
9fc : 1595;
9fd : 7040;
9fe : 8939;
9ff : 5364;
a00 : 1019;
a01 : -1940;
a02 : -3735;
a03 : -6423;
a04 : -5026;
a05 : -7612;
a06 : -4221;
a07 : 3976;
a08 : 6689;
a09 : 7310;
a0a : 8340;
a0b : 2757;
a0c : -54;
a0d : -5061;
a0e : -7469;
a0f : -7763;
a10 : -4872;
a11 : 1701;
a12 : 4372;
a13 : 3706;
a14 : 1904;
a15 : -3121;
a16 : -1862;
a17 : -2284;
a18 : 1480;
a19 : 5634;
a1a : 4376;
a1b : 5850;
a1c : 4199;
a1d : 1144;
a1e : -5862;
a1f : -7602;
a20 : -10510;
a21 : -6786;
a22 : 3218;
a23 : 5638;
a24 : 5695;
a25 : 8181;
a26 : 3039;
a27 : 64;
a28 : -2071;
a29 : -4916;
a2a : -7307;
a2b : -7168;
a2c : -835;
a2d : 2663;
a2e : 2257;
a2f : 551;
a30 : -4536;
a31 : -3756;
a32 : -2646;
a33 : 253;
a34 : 5846;
a35 : 1992;
a36 : 3269;
a37 : 3841;
a38 : 3477;
a39 : -195;
a3a : -2889;
a3b : -7704;
a3c : -7565;
a3d : 1550;
a3e : 5435;
a3f : 3869;
a40 : 6422;
a41 : 2076;
a42 : -1691;
a43 : -1321;
a44 : -2304;
a45 : -4475;
a46 : -6076;
a47 : -1010;
a48 : 2467;
a49 : 3158;
a4a : 3121;
a4b : -659;
a4c : -1535;
a4d : 740;
a4e : 2393;
a4f : 7399;
a50 : 3215;
a51 : 2665;
a52 : 2203;
a53 : 1549;
a54 : -1065;
a55 : -2540;
a56 : -5245;
a57 : -8325;
a58 : -1419;
a59 : 3840;
a5a : 781;
a5b : 2632;
a5c : 2112;
a5d : -1645;
a5e : -1537;
a5f : -651;
a60 : -769;
a61 : -2216;
a62 : 2110;
a63 : 4160;
a64 : 2944;
a65 : 113;
a66 : -2954;
a67 : -5779;
a68 : -3631;
a69 : -2074;
a6a : 4504;
a6b : 3918;
a6c : 2492;
a6d : 3786;
a6e : 2630;
a6f : -838;
a70 : -3339;
a71 : -4437;
a72 : -6868;
a73 : -589;
a74 : 5181;
a75 : 1264;
a76 : 723;
a77 : 442;
a78 : -2408;
a79 : -1628;
a7a : -2775;
a7b : -4007;
a7c : -5731;
a7d : -695;
a7e : 4343;
a7f : 4683;
a80 : 1574;
a81 : -462;
a82 : -3612;
a83 : -2613;
a84 : -2375;
a85 : 2516;
a86 : 3861;
a87 : 1778;
a88 : 4409;
a89 : 4797;
a8a : 2658;
a8b : -165;
a8c : -1837;
a8d : -5832;
a8e : -1671;
a8f : 5601;
a90 : 3585;
a91 : 899;
a92 : 280;
a93 : -2397;
a94 : -2121;
a95 : -1537;
a96 : -1675;
a97 : -4755;
a98 : -1966;
a99 : 2959;
a9a : 4729;
a9b : 1635;
a9c : 77;
a9d : -2215;
a9e : -1268;
a9f : -854;
aa0 : 2143;
aa1 : 4969;
aa2 : 1343;
aa3 : 1754;
aa4 : 2193;
aa5 : 374;
aa6 : -1529;
aa7 : -465;
aa8 : -4018;
aa9 : -3185;
aaa : 3819;
aab : 3251;
aac : -245;
aad : -102;
aae : -1963;
aaf : -2920;
ab0 : -2154;
ab1 : -1122;
ab2 : -2327;
ab3 : 165;
ab4 : 3706;
ab5 : 4312;
ab6 : 276;
ab7 : -1601;
ab8 : -2293;
ab9 : -1758;
aba : -1477;
abb : -939;
abc : 1882;
abd : -413;
abe : 736;
abf : 1230;
ac0 : -373;
ac1 : -2632;
ac2 : -1338;
ac3 : -3249;
ac4 : -3597;
ac5 : 3755;
ac6 : 4679;
ac7 : 1010;
ac8 : 422;
ac9 : -603;
aca : -1867;
acb : -2406;
acc : -1752;
acd : -2708;
ace : -750;
acf : 4237;
ad0 : 6234;
ad1 : 1464;
ad2 : -2351;
ad3 : -2615;
ad4 : -2693;
ad5 : -968;
ad6 : -176;
ad7 : 3180;
ad8 : 1632;
ad9 : 2189;
ada : 4045;
adb : 3670;
adc : 617;
add : 450;
ade : -1487;
adf : -5033;
ae0 : 1327;
ae1 : 4389;
ae2 : 1060;
ae3 : 219;
ae4 : -441;
ae5 : -2611;
ae6 : -3105;
ae7 : -1951;
ae8 : -2618;
ae9 : -1976;
aea : 1582;
aeb : 4475;
aec : 2264;
aed : -1914;
aee : -2091;
aef : -1835;
af0 : 591;
af1 : 720;
af2 : 3919;
af3 : 2793;
af4 : 855;
af5 : 1984;
af6 : 1747;
af7 : -887;
af8 : -1173;
af9 : -1160;
afa : -5802;
afb : -1334;
afc : 2690;
afd : -43;
afe : 109;
aff : 702;
b00 : -2143;
b01 : -3962;
b02 : -2274;
b03 : -772;
b04 : 70;
b05 : 2760;
b06 : 4523;
b07 : 2401;
b08 : -3693;
b09 : -4253;
b0a : -3841;
b0b : -1430;
b0c : -495;
b0d : 1986;
b0e : 1761;
b0f : -565;
b10 : 830;
b11 : 2005;
b12 : 349;
b13 : -929;
b14 : 837;
b15 : -2490;
b16 : -718;
b17 : 3600;
b18 : 1221;
b19 : 290;
b1a : 1687;
b1b : 79;
b1c : -2510;
b1d : -2804;
b1e : -1652;
b1f : -1343;
b20 : 1791;
b21 : 5332;
b22 : 5156;
b23 : -1755;
b24 : -3500;
b25 : -3473;
b26 : -1262;
b27 : 32;
b28 : 1315;
b29 : 1840;
b2a : -347;
b2b : 973;
b2c : 3031;
b2d : 2992;
b2e : 977;
b2f : 1973;
b30 : -1228;
b31 : -2065;
b32 : 2521;
b33 : 178;
b34 : -1230;
b35 : 876;
b36 : -628;
b37 : -2443;
b38 : -3584;
b39 : -3033;
b3a : -2903;
b3b : -600;
b3c : 3499;
b3d : 6477;
b3e : 951;
b3f : -2612;
b40 : -2993;
b41 : -1943;
b42 : -1105;
b43 : 941;
b44 : 3027;
b45 : 911;
b46 : 1013;
b47 : 2256;
b48 : 1557;
b49 : -1107;
b4a : 127;
b4b : -1456;
b4c : -3284;
b4d : 1755;
b4e : 646;
b4f : -1571;
b50 : 210;
b51 : -1008;
b52 : -3084;
b53 : -4779;
b54 : -3513;
b55 : -1803;
b56 : 430;
b57 : 3556;
b58 : 6539;
b59 : 2757;
b5a : -1255;
b5b : -1795;
b5c : -1485;
b5d : -1553;
b5e : -1438;
b5f : 894;
b60 : 879;
b61 : 921;
b62 : 2550;
b63 : 2111;
b64 : -1713;
b65 : -1383;
b66 : -321;
b67 : -2168;
b68 : 3057;
b69 : 4408;
b6a : 873;
b6b : 2759;
b6c : 3139;
b6d : 728;
b6e : -2688;
b6f : -4374;
b70 : -4183;
b71 : -2611;
b72 : 816;
b73 : 4142;
b74 : 2491;
b75 : -1526;
b76 : -2150;
b77 : -1319;
b78 : -810;
b79 : -1274;
b7a : 457;
b7b : 644;
b7c : 923;
b7d : 3432;
b7e : 4502;
b7f : 1123;
b80 : -746;
b81 : -78;
b82 : -2828;
b83 : 947;
b84 : 3935;
b85 : -93;
b86 : 648;
b87 : 1271;
b88 : -7;
b89 : -2605;
b8a : -5346;
b8b : -5148;
b8c : -4391;
b8d : -1487;
b8e : 2582;
b8f : 3545;
b90 : 237;
b91 : -32;
b92 : 447;
b93 : 336;
b94 : -734;
b95 : 559;
b96 : 668;
b97 : 70;
b98 : 1866;
b99 : 2623;
b9a : -188;
b9b : -2323;
b9c : -1389;
b9d : -3754;
b9e : -2239;
b9f : 1966;
ba0 : -1040;
ba1 : -355;
ba2 : 2079;
ba3 : 1438;
ba4 : 112;
ba5 : -2451;
ba6 : -1927;
ba7 : -1144;
ba8 : 1177;
ba9 : 3382;
baa : 3571;
bab : -516;
bac : -1619;
bad : -450;
bae : 441;
baf : -1638;
bb0 : -542;
bb1 : 666;
bb2 : -55;
bb3 : 2036;
bb4 : 3582;
bb5 : 985;
bb6 : -1515;
bb7 : -164;
bb8 : -950;
bb9 : -1171;
bba : 3574;
bbb : 1525;
bbc : -328;
bbd : 2575;
bbe : 1868;
bbf : 608;
bc0 : -2555;
bc1 : -3242;
bc2 : -3123;
bc3 : -75;
bc4 : 2331;
bc5 : 3737;
bc6 : -1;
bc7 : -3270;
bc8 : -2731;
bc9 : -744;
bca : -1814;
bcb : -1423;
bcc : 239;
bcd : 190;
bce : 2226;
bcf : 4763;
bd0 : 2952;
bd1 : -295;
bd2 : -710;
bd3 : -799;
bd4 : -1898;
bd5 : 1850;
bd6 : 1679;
bd7 : -1662;
bd8 : 1151;
bd9 : 1069;
bda : 30;
bdb : -2684;
bdc : -3743;
bdd : -4302;
bde : -1860;
bdf : 899;
be0 : 3641;
be1 : 1824;
be2 : -1765;
be3 : -1921;
be4 : -689;
be5 : -1461;
be6 : -1229;
be7 : 646;
be8 : 400;
be9 : 1157;
bea : 3360;
beb : 2654;
bec : -374;
bed : -1998;
bee : -1185;
bef : -2229;
bf0 : 812;
bf1 : 2820;
bf2 : -1081;
bf3 : 1229;
bf4 : 1871;
bf5 : 879;
bf6 : -1285;
bf7 : -2738;
bf8 : -2731;
bf9 : -222;
bfa : 2653;
bfb : 4932;
bfc : 4361;
bfd : 222;
bfe : -749;
bff : 342;
c00 : -1403;
c01 : -2866;
c02 : -1043;
c03 : -828;
c04 : -32;
c05 : 2802;
c06 : 2324;
c07 : -790;
c08 : -2453;
c09 : -1498;
c0a : -2099;
c0b : 311;
c0c : 4036;
c0d : 80;
c0e : 1410;
c0f : 3346;
c10 : 1867;
c11 : -41;
c12 : -2742;
c13 : -3832;
c14 : -2032;
c15 : 891;
c16 : 2851;
c17 : 3135;
c18 : -1589;
c19 : -3319;
c1a : -1713;
c1b : -1450;
c1c : -2843;
c1d : -1304;
c1e : -521;
c1f : 155;
c20 : 3427;
c21 : 4782;
c22 : 2274;
c23 : -777;
c24 : -718;
c25 : -1353;
c26 : -1299;
c27 : 2566;
c28 : -885;
c29 : -1914;
c2a : 1364;
c2b : 1227;
c2c : 778;
c2d : -2074;
c2e : -4229;
c2f : -3995;
c30 : -1357;
c31 : -14;
c32 : 956;
c33 : -2870;
c34 : -5057;
c35 : -4308;
c36 : -3600;
c37 : -4647;
c38 : -3268;
c39 : -2394;
c3a : -3002;
c3b : -2660;
c3c : -1158;
c3d : -4164;
c3e : -8659;
c3f : -4741;
c40 : -5438;
c41 : -1248;
c42 : 146;
c43 : -1717;
c44 : 3396;
c45 : 4983;
c46 : 7479;
c47 : 7800;
c48 : 6944;
c49 : 5682;
c4a : 5901;
c4b : 8546;
c4c : 9737;
c4d : 10850;
c4e : 6766;
c4f : 3465;
c50 : 4097;
c51 : 5611;
c52 : 4777;
c53 : 5671;
c54 : 6916;
c55 : 6271;
c56 : 6574;
c57 : 6595;
c58 : 4120;
c59 : 1314;
c5a : 2975;
c5b : 4880;
c5c : 5523;
c5d : 9781;
c5e : 9411;
c5f : 3737;
c60 : 4412;
c61 : -262;
c62 : -1060;
c63 : -1852;
c64 : -4135;
c65 : -4876;
c66 : -5932;
c67 : -3508;
c68 : -127;
c69 : -3426;
c6a : -7473;
c6b : -10402;
c6c : -8363;
c6d : -8152;
c6e : -8890;
c6f : -4776;
c70 : -5486;
c71 : -4923;
c72 : -2784;
c73 : -3757;
c74 : -7428;
c75 : -8989;
c76 : -9264;
c77 : -9335;
c78 : -5080;
c79 : -2351;
c7a : -7160;
c7b : -5885;
c7c : -5650;
c7d : -6335;
c7e : -7156;
c7f : -9050;
c80 : -10212;
c81 : -7402;
c82 : -4181;
c83 : -1403;
c84 : -2263;
c85 : -6298;
c86 : -7934;
c87 : -6813;
c88 : -4518;
c89 : -4143;
c8a : 230;
c8b : 705;
c8c : 1193;
c8d : 5318;
c8e : 4251;
c8f : 754;
c90 : -1449;
c91 : -2003;
c92 : -1797;
c93 : 600;
c94 : 5148;
c95 : 2926;
c96 : 3459;
c97 : 5646;
c98 : 5729;
c99 : 5010;
c9a : 4033;
c9b : 2265;
c9c : 3372;
c9d : 7048;
c9e : 9238;
c9f : 10089;
ca0 : 6768;
ca1 : 4223;
ca2 : 3755;
ca3 : 3840;
ca4 : 2642;
ca5 : 5471;
ca6 : 5419;
ca7 : 6256;
ca8 : 9781;
ca9 : 10050;
caa : 6655;
cab : 4138;
cac : 4387;
cad : 3336;
cae : 5568;
caf : 9369;
cb0 : 6542;
cb1 : 4859;
cb2 : 8262;
cb3 : 8184;
cb4 : 7658;
cb5 : 5831;
cb6 : 3175;
cb7 : 1382;
cb8 : 3321;
cb9 : 5101;
cba : 6059;
cbb : 2439;
cbc : 246;
cbd : 210;
cbe : 1048;
cbf : -128;
cc0 : 1669;
cc1 : 3220;
cc2 : 2463;
cc3 : 4717;
cc4 : 6342;
cc5 : 2625;
cc6 : -702;
cc7 : -553;
cc8 : -1355;
cc9 : 481;
cca : 4300;
ccb : 1984;
ccc : -2785;
ccd : -940;
cce : -1788;
ccf : -2587;
cd0 : -4060;
cd1 : -6483;
cd2 : -8385;
cd3 : -6010;
cd4 : -3181;
cd5 : -1743;
cd6 : -3190;
cd7 : -5875;
cd8 : -7369;
cd9 : -7051;
cda : -7414;
cdb : -5461;
cdc : -2529;
cdd : -3649;
cde : -1729;
cdf : -533;
ce0 : -2262;
ce1 : -5710;
ce2 : -6227;
ce3 : -7376;
ce4 : -6909;
ce5 : -1552;
ce6 : 610;
ce7 : -3055;
ce8 : -931;
ce9 : -900;
cea : -2122;
ceb : -3006;
cec : -4084;
ced : -6463;
cee : -4183;
cef : -699;
cf0 : 1720;
cf1 : 263;
cf2 : -2671;
cf3 : -4575;
cf4 : -4660;
cf5 : -5126;
cf6 : -4800;
cf7 : -2002;
cf8 : -2003;
cf9 : -8;
cfa : 2000;
cfb : 981;
cfc : -2266;
cfd : -2621;
cfe : -3891;
cff : -4564;
d00 : -1000;
d01 : 1423;
d02 : -2672;
d03 : -2202;
d04 : -998;
d05 : -2699;
d06 : -4922;
d07 : -6376;
d08 : -8008;
d09 : -7295;
d0a : -3506;
d0b : -337;
d0c : -869;
d0d : -3665;
d0e : -4187;
d0f : -4537;
d10 : -3057;
d11 : -1902;
d12 : 1402;
d13 : 2320;
d14 : 2821;
d15 : 5258;
d16 : 5398;
d17 : 1900;
d18 : -313;
d19 : -268;
d1a : -1354;
d1b : 1916;
d1c : 6383;
d1d : 4186;
d1e : 3724;
d1f : 6689;
d20 : 5698;
d21 : 4348;
d22 : 2873;
d23 : -474;
d24 : -989;
d25 : 2727;
d26 : 6603;
d27 : 8498;
d28 : 6356;
d29 : 3743;
d2a : 2574;
d2b : 2406;
d2c : 1758;
d2d : 4406;
d2e : 5982;
d2f : 5792;
d30 : 7643;
d31 : 8870;
d32 : 6560;
d33 : 3586;
d34 : 2406;
d35 : -814;
d36 : -175;
d37 : 4775;
d38 : 3399;
d39 : 1726;
d3a : 4118;
d3b : 2268;
d3c : 1351;
d3d : 113;
d3e : -2278;
d3f : -6089;
d40 : -4649;
d41 : -1860;
d42 : 119;
d43 : -1206;
d44 : -2494;
d45 : -5021;
d46 : -6139;
d47 : -8400;
d48 : -7032;
d49 : -4966;
d4a : -5745;
d4b : -3678;
d4c : -2706;
d4d : -4011;
d4e : -7774;
d4f : -7817;
d50 : -9575;
d51 : -8812;
d52 : -3971;
d53 : -2747;
d54 : -5624;
d55 : -2038;
d56 : -1275;
d57 : -1585;
d58 : -1858;
d59 : -2800;
d5a : -4195;
d5b : -1829;
d5c : 1375;
d5d : 2767;
d5e : 1032;
d5f : -70;
d60 : -298;
d61 : -414;
d62 : 183;
d63 : 988;
d64 : 4321;
d65 : 2957;
d66 : 5195;
d67 : 7557;
d68 : 7853;
d69 : 5058;
d6a : 4727;
d6b : 2989;
d6c : 1791;
d6d : 5942;
d6e : 7856;
d6f : 4083;
d70 : 5113;
d71 : 6490;
d72 : 4682;
d73 : 3805;
d74 : 2372;
d75 : 221;
d76 : 1908;
d77 : 5464;
d78 : 8165;
d79 : 6205;
d7a : 2388;
d7b : 941;
d7c : -857;
d7d : -1130;
d7e : -950;
d7f : 1617;
d80 : 234;
d81 : -108;
d82 : 1403;
d83 : 974;
d84 : -2589;
d85 : -4199;
d86 : -6077;
d87 : -8844;
d88 : -6027;
d89 : -1940;
d8a : -4015;
d8b : -2837;
d8c : -129;
d8d : -1019;
d8e : -2146;
d8f : -3912;
d90 : -6693;
d91 : -6632;
d92 : -3865;
d93 : 219;
d94 : 1210;
d95 : -1168;
d96 : -2067;
d97 : -4392;
d98 : -2772;
d99 : -1397;
d9a : 1366;
d9b : 1544;
d9c : 829;
d9d : -514;
d9e : -321;
d9f : -550;
da0 : 288;
da1 : 2990;
da2 : 1541;
da3 : 4707;
da4 : 7508;
da5 : 5594;
da6 : 5242;
da7 : 9204;
da8 : 8859;
da9 : 4876;
daa : 2408;
dab : 1015;
dac : 1284;
dad : 6298;
dae : 9543;
daf : 9935;
db0 : 6248;
db1 : 1615;
db2 : -4354;
db3 : -6672;
db4 : -4740;
db5 : 566;
db6 : 4307;
db7 : 2929;
db8 : 2193;
db9 : 1469;
dba : -49;
dbb : -2625;
dbc : -1610;
dbd : -6720;
dbe : -7683;
dbf : -113;
dc0 : 2322;
dc1 : 2451;
dc2 : 5604;
dc3 : 4903;
dc4 : 1286;
dc5 : -4217;
dc6 : -10692;
dc7 : -12995;
dc8 : -8241;
dc9 : -1111;
dca : 3677;
dcb : 2280;
dcc : 247;
dcd : -1769;
dce : -2713;
dcf : -3011;
dd0 : -1965;
dd1 : -431;
dd2 : -2906;
dd3 : -290;
dd4 : 3602;
dd5 : 6546;
dd6 : 4489;
dd7 : 3866;
dd8 : -394;
dd9 : -5399;
dda : -5458;
ddb : -5662;
ddc : -5674;
ddd : -813;
dde : 1109;
ddf : 925;
de0 : -199;
de1 : -2356;
de2 : -3412;
de3 : -2940;
de4 : -30;
de5 : 2307;
de6 : -646;
de7 : -191;
de8 : 1551;
de9 : 317;
dea : 295;
deb : -213;
dec : 2901;
ded : 38;
dee : -3124;
def : -4223;
df0 : -3253;
df1 : -3782;
df2 : -1318;
df3 : -1927;
df4 : -4646;
df5 : -2601;
df6 : 191;
df7 : -1157;
df8 : 1454;
df9 : 2402;
dfa : -698;
dfb : -80;
dfc : 562;
dfd : 1442;
dfe : 3053;
dff : 5002;
e00 : 7316;
e01 : 5046;
e02 : 399;
e03 : -1891;
e04 : -4458;
e05 : -4106;
e06 : -2231;
e07 : 2787;
e08 : 4822;
e09 : 4573;
e0a : 6417;
e0b : 6293;
e0c : 4034;
e0d : 3074;
e0e : -398;
e0f : -3636;
e10 : 609;
e11 : 5666;
e12 : 4887;
e13 : 4770;
e14 : 5770;
e15 : 3408;
e16 : -1268;
e17 : -5333;
e18 : -6598;
e19 : -6350;
e1a : -2876;
e1b : 1225;
e1c : 2037;
e1d : -631;
e1e : 428;
e1f : -1278;
e20 : -3615;
e21 : -5089;
e22 : -5944;
e23 : -5288;
e24 : -3219;
e25 : 465;
e26 : 2488;
e27 : 646;
e28 : -1512;
e29 : -1945;
e2a : -8172;
e2b : -11242;
e2c : -8013;
e2d : -7112;
e2e : -5890;
e2f : -1791;
e30 : -1522;
e31 : -1999;
e32 : -914;
e33 : -87;
e34 : -288;
e35 : 1076;
e36 : 1432;
e37 : 1060;
e38 : 1366;
e39 : 4635;
e3a : 5044;
e3b : 3135;
e3c : 1681;
e3d : 3344;
e3e : 4651;
e3f : 1285;
e40 : 2769;
e41 : 4403;
e42 : 5387;
e43 : 5605;
e44 : 6850;
e45 : 2704;
e46 : 1724;
e47 : 6396;
e48 : 7252;
e49 : 6702;
e4a : 7484;
e4b : 3961;
e4c : 2578;
e4d : 4157;
e4e : 4843;
e4f : 5821;
e50 : 5902;
e51 : 7336;
e52 : 6712;
e53 : 828;
e54 : -1534;
e55 : -707;
e56 : -2794;
e57 : -4073;
e58 : -2795;
e59 : -1433;
e5a : -2767;
e5b : -613;
e5c : -266;
e5d : -692;
e5e : -3918;
e5f : -6102;
e60 : -8876;
e61 : -9596;
e62 : -5097;
e63 : -2444;
e64 : -3901;
e65 : -1838;
e66 : -1379;
e67 : -5018;
e68 : -7700;
e69 : -8651;
e6a : -7534;
e6b : -6315;
e6c : -3746;
e6d : -1670;
e6e : -3277;
e6f : -2678;
e70 : -632;
e71 : -2302;
e72 : -3975;
e73 : -5727;
e74 : -3105;
e75 : -729;
e76 : 2484;
e77 : 4784;
e78 : 5386;
e79 : 3842;
e7a : 4389;
e7b : 1711;
e7c : -4222;
e7d : -2835;
e7e : 922;
e7f : 489;
e80 : 2092;
e81 : 4185;
e82 : 2214;
e83 : 3047;
e84 : 3072;
e85 : 2340;
e86 : 2525;
e87 : 1688;
e88 : 2997;
e89 : 4207;
e8a : 5125;
e8b : 8169;
e8c : 5634;
e8d : 2061;
e8e : 2063;
e8f : 4295;
e90 : 2833;
e91 : 1108;
e92 : 1461;
e93 : 502;
e94 : -1410;
e95 : -1654;
e96 : -2843;
e97 : -5618;
e98 : -3731;
e99 : -139;
e9a : 579;
e9b : -441;
e9c : -357;
e9d : -870;
e9e : -16;
e9f : 356;
ea0 : -430;
ea1 : -222;
ea2 : 1068;
ea3 : 3292;
ea4 : 1884;
ea5 : -2783;
ea6 : -1363;
ea7 : -2222;
ea8 : -4955;
ea9 : -5531;
eaa : -3503;
eab : -1338;
eac : 1347;
ead : 2911;
eae : 3349;
eaf : 987;
eb0 : -1827;
eb1 : -823;
eb2 : -2245;
eb3 : -1292;
eb4 : 2216;
eb5 : 2563;
eb6 : 3303;
eb7 : 6064;
eb8 : 3016;
eb9 : -1544;
eba : -3419;
ebb : -4350;
ebc : -4533;
ebd : -2902;
ebe : -1104;
ebf : 110;
ec0 : 532;
ec1 : 1355;
ec2 : 2516;
ec3 : -809;
ec4 : -4458;
ec5 : -3135;
ec6 : 265;
ec7 : 2278;
ec8 : 4691;
ec9 : 4038;
eca : 3516;
ecb : 2015;
ecc : 946;
ecd : -4613;
ece : -9373;
ecf : -5852;
ed0 : -3698;
ed1 : -3365;
ed2 : 169;
ed3 : -329;
ed4 : -221;
ed5 : 113;
ed6 : -1090;
ed7 : -994;
ed8 : -3017;
ed9 : -2222;
eda : 1131;
edb : 3199;
edc : 4890;
edd : 5764;
ede : 3649;
edf : 3220;
ee0 : 2024;
ee1 : 736;
ee2 : -1395;
ee3 : 575;
ee4 : 2799;
ee5 : 2701;
ee6 : 1973;
ee7 : 2080;
ee8 : 1723;
ee9 : -788;
eea : -61;
eeb : 2573;
eec : 890;
eed : 3595;
eee : 5435;
eef : 4997;
ef0 : 4502;
ef1 : 2328;
ef2 : 544;
ef3 : 1323;
ef4 : 1676;
ef5 : 343;
ef6 : -2172;
ef7 : -2748;
ef8 : 134;
ef9 : -697;
efa : -1818;
efb : -3351;
efc : -2519;
efd : -1720;
efe : -484;
eff : 662;
f00 : -417;
f01 : -3854;
f02 : -3684;
f03 : -3258;
f04 : -5638;
f05 : -6011;
f06 : -4771;
f07 : -4251;
f08 : -1096;
f09 : -782;
f0a : -4674;
f0b : -5972;
f0c : -5787;
f0d : -6315;
f0e : -6039;
f0f : -4732;
f10 : -3971;
f11 : -1009;
f12 : -1070;
f13 : 2530;
f14 : 3229;
f15 : -443;
f16 : -1006;
f17 : 361;
f18 : 1608;
f19 : 2621;
f1a : 4381;
f1b : 6376;
f1c : 7381;
f1d : 5685;
f1e : 2724;
f1f : -2022;
f20 : -1186;
f21 : 1938;
f22 : 1443;
f23 : 2459;
f24 : 3904;
f25 : 3701;
f26 : 3375;
f27 : 2964;
f28 : 1966;
f29 : 48;
f2a : 886;
f2b : 3188;
f2c : 4715;
f2d : 3880;
f2e : 5055;
f2f : 6069;
f30 : 5664;
f31 : 2863;
f32 : -977;
f33 : -3274;
f34 : -2035;
f35 : 594;
f36 : 1354;
f37 : 182;
f38 : -3161;
f39 : -2809;
f3a : -3996;
f3b : -6123;
f3c : -1930;
f3d : -3392;
f3e : -4135;
f3f : -363;
f40 : -374;
f41 : -1423;
f42 : -2757;
f43 : -3288;
f44 : -1868;
f45 : -1405;
f46 : -3861;
f47 : -5271;
f48 : -4536;
f49 : -584;
f4a : 934;
f4b : 483;
f4c : -2574;
f4d : -4367;
f4e : -1996;
f4f : -2151;
f50 : 1352;
f51 : 2439;
f52 : 2121;
f53 : 1500;
f54 : 3481;
f55 : 2531;
f56 : 242;
f57 : 1939;
f58 : 3543;
f59 : 3585;
f5a : 4438;
f5b : 2342;
f5c : 1143;
f5d : 2788;
f5e : 557;
f5f : -25;
f60 : -392;
f61 : -855;
f62 : 2138;
f63 : 1497;
f64 : 3176;
f65 : 5276;
f66 : 2583;
f67 : 1747;
f68 : 449;
f69 : 1060;
f6a : 109;
f6b : 1427;
f6c : 4623;
f6d : 5296;
f6e : 1801;
f6f : -1562;
f70 : -4161;
f71 : -5357;
f72 : -4448;
f73 : -3841;
f74 : -4493;
f75 : -4530;
f76 : -999;
f77 : -1433;
f78 : -1167;
f79 : -1574;
f7a : -4369;
f7b : -4804;
f7c : -4555;
f7d : -1961;
f7e : -1142;
f7f : -82;
f80 : 3084;
f81 : 3625;
f82 : 1124;
f83 : -3836;
f84 : -5470;
f85 : -4854;
f86 : -2658;
f87 : -71;
f88 : 2199;
f89 : 264;
f8a : 966;
f8b : 2362;
f8c : -713;
f8d : -59;
f8e : -193;
f8f : -1691;
f90 : -89;
f91 : 3362;
f92 : 3449;
f93 : 3469;
f94 : 3468;
f95 : 3217;
f96 : 2246;
f97 : -437;
f98 : -2123;
f99 : -999;
f9a : 879;
f9b : 3043;
f9c : 3162;
f9d : 1359;
f9e : -1874;
f9f : -722;
fa0 : -1707;
fa1 : -507;
fa2 : 1479;
fa3 : 1772;
fa4 : 1684;
fa5 : 1108;
fa6 : 2903;
fa7 : 469;
fa8 : -1300;
fa9 : -33;
faa : -867;
fab : -3083;
fac : -2116;
fad : -1837;
fae : -532;
faf : -633;
fb0 : -1994;
fb1 : -2631;
fb2 : -3945;
fb3 : -1782;
fb4 : -1241;
fb5 : -405;
fb6 : 2439;
fb7 : 2111;
fb8 : 1963;
fb9 : 933;
fba : 846;
fbb : 797;
fbc : 338;
fbd : 3241;
fbe : 5485;
fbf : 4129;
fc0 : 380;
fc1 : 518;
fc2 : 1474;
fc3 : 564;
fc4 : 1436;
fc5 : 1135;
fc6 : -1329;
fc7 : 2241;
fc8 : 3421;
fc9 : 2440;
fca : 3864;
fcb : 1291;
fcc : -331;
fcd : -499;
fce : 217;
fcf : 2345;
fd0 : 2313;
fd1 : 3794;
fd2 : 4815;
fd3 : 2068;
fd4 : -2240;
fd5 : -4808;
fd6 : -2835;
fd7 : -2516;
fd8 : -1378;
fd9 : 414;
fda : -1062;
fdb : -2899;
fdc : -1709;
fdd : -3685;
fde : -5011;
fdf : -5318;
fe0 : -5524;
fe1 : -6027;
fe2 : -2747;
fe3 : 238;
fe4 : -947;
fe5 : -461;
fe6 : -609;
fe7 : -1831;
fe8 : -3996;
fe9 : -6374;
fea : -4537;
feb : -1457;
fec : -501;
fed : 2384;
fee : 1028;
fef : -1126;
ff0 : -1534;
ff1 : -1678;
ff2 : -905;
ff3 : 117;
ff4 : 883;
ff5 : 2385;
ff6 : 2446;
ff7 : 3680;
ff8 : 5313;
ff9 : 2818;
ffa : 3363;
ffb : 3657;
ffc : 754;
ffd : -409;
ffe : 1841;
fff : 3145;
1000 : 3549;
1001 : 2948;
1002 : 1024;
1003 : -497;
1004 : 636;
1005 : 705;
1006 : 1505;
1007 : 2119;
1008 : 2946;
1009 : 2787;
100a : 2105;
100b : 1244;
100c : 1164;
100d : -158;
100e : 695;
100f : 3335;
1010 : 2934;
1011 : -714;
1012 : -3091;
1013 : -568;
1014 : -1552;
1015 : -2593;
1016 : -1540;
1017 : -4902;
1018 : -4482;
1019 : -1681;
101a : -1921;
101b : -609;
101c : -1661;
101d : -3229;
101e : -3115;
101f : -2625;
1020 : -1383;
1021 : 55;
1022 : 15;
1023 : 3139;
1024 : 2003;
1025 : -1053;
1026 : -3632;
1027 : -1980;
1028 : -917;
1029 : -2042;
102a : -405;
102b : -353;
102c : 525;
102d : -202;
102e : 441;
102f : 773;
1030 : -388;
1031 : 653;
1032 : 740;
1033 : 599;
1034 : 3565;
1035 : 3995;
1036 : 3051;
1037 : 4381;
1038 : 3113;
1039 : 565;
103a : -1727;
103b : -1287;
103c : 1679;
103d : 1488;
103e : 3314;
103f : 3510;
1040 : 1088;
1041 : 310;
1042 : -512;
1043 : 1116;
1044 : 760;
1045 : 1326;
1046 : 2108;
1047 : 1372;
1048 : -531;
1049 : -245;
104a : -754;
104b : -2109;
104c : -153;
104d : -1844;
104e : -5649;
104f : -2946;
1050 : 3298;
1051 : 4343;
1052 : 3602;
1053 : -2123;
1054 : -6995;
1055 : -9067;
1056 : -13630;
1057 : -11409;
1058 : -8444;
1059 : -2817;
105a : 4444;
105b : 7530;
105c : 6835;
105d : 2854;
105e : 96;
105f : 165;
1060 : 3091;
1061 : 4747;
1062 : 5020;
1063 : 2619;
1064 : 802;
1065 : -2510;
1066 : -7217;
1067 : -6299;
1068 : -6374;
1069 : -6674;
106a : -5700;
106b : -39;
106c : 9096;
106d : 12137;
106e : 10923;
106f : 9243;
1070 : 4613;
1071 : -2599;
1072 : -7402;
1073 : -10281;
1074 : -8719;
1075 : -3713;
1076 : -85;
1077 : 1478;
1078 : 1765;
1079 : 1929;
107a : 780;
107b : 3630;
107c : 5105;
107d : 8333;
107e : 9928;
107f : 6265;
1080 : 4347;
1081 : -4079;
1082 : -9318;
1083 : -11315;
1084 : -12270;
1085 : -11360;
1086 : -6155;
1087 : 1795;
1088 : 10025;
1089 : 14014;
108a : 13061;
108b : 7296;
108c : -1153;
108d : -6435;
108e : -10348;
108f : -10755;
1090 : -7324;
1091 : -2658;
1092 : 1359;
1093 : -318;
1094 : -771;
1095 : -2188;
1096 : -1749;
1097 : 2754;
1098 : 7657;
1099 : 11179;
109a : 12361;
109b : 13116;
109c : 9167;
109d : 2069;
109e : -5279;
109f : -11354;
10a0 : -13207;
10a1 : -8173;
10a2 : -1830;
10a3 : 5662;
10a4 : 11348;
10a5 : 12722;
10a6 : 13993;
10a7 : 6575;
10a8 : -896;
10a9 : -5831;
10aa : -9962;
10ab : -8251;
10ac : -4347;
10ad : 389;
10ae : 678;
10af : -791;
10b0 : -3198;
10b1 : -3062;
10b2 : -140;
10b3 : 2423;
10b4 : 4380;
10b5 : 5863;
10b6 : 7629;
10b7 : 5530;
10b8 : 378;
10b9 : -6209;
10ba : -11355;
10bb : -16397;
10bc : -15518;
10bd : -9765;
10be : -3255;
10bf : 3038;
10c0 : 6597;
10c1 : 9783;
10c2 : 7892;
10c3 : 2404;
10c4 : -3223;
10c5 : -8059;
10c6 : -9215;
10c7 : -8554;
10c8 : -5079;
10c9 : -917;
10ca : 756;
10cb : -1001;
10cc : -2604;
10cd : -2538;
10ce : 1162;
10cf : 6111;
10d0 : 7602;
10d1 : 10200;
10d2 : 9475;
10d3 : 5039;
10d4 : 952;
10d5 : -3824;
10d6 : -8215;
10d7 : -8340;
10d8 : -5522;
10d9 : -482;
10da : 5493;
10db : 7283;
10dc : 8535;
10dd : 8898;
10de : 6674;
10df : 2504;
10e0 : -2617;
10e1 : -4892;
10e2 : -3563;
10e3 : 359;
10e4 : 2153;
10e5 : 2657;
10e6 : -309;
10e7 : -4954;
10e8 : -6153;
10e9 : -2701;
10ea : 2129;
10eb : 6622;
10ec : 9681;
10ed : 11377;
10ee : 8294;
10ef : 1991;
10f0 : -5811;
10f1 : -12194;
10f2 : -11592;
10f3 : -8566;
10f4 : -5029;
10f5 : -1243;
10f6 : -99;
10f7 : 2709;
10f8 : 4523;
10f9 : 3268;
10fa : 976;
10fb : -5635;
10fc : -9539;
10fd : -6984;
10fe : -2397;
10ff : 3471;
1100 : 4560;
1101 : 2823;
1102 : -1290;
1103 : -5254;
1104 : -5871;
1105 : -4156;
1106 : 913;
1107 : 5981;
1108 : 9950;
1109 : 11226;
110a : 8023;
110b : 4098;
110c : -2982;
110d : -7002;
110e : -4292;
110f : -2695;
1110 : 630;
1111 : 2740;
1112 : 3097;
1113 : 6218;
1114 : 7235;
1115 : 5695;
1116 : 2731;
1117 : -3004;
1118 : -4532;
1119 : -3422;
111a : 1999;
111b : 6180;
111c : 4687;
111d : 1120;
111e : -3825;
111f : -4294;
1120 : -2886;
1121 : -1127;
1122 : 2219;
1123 : 4642;
1124 : 5018;
1125 : 4401;
1126 : 2694;
1127 : -1458;
1128 : -5344;
1129 : -6200;
112a : -4508;
112b : -2573;
112c : -1191;
112d : -2548;
112e : -1608;
112f : 1708;
1130 : 1019;
1131 : -5;
1132 : -4637;
1133 : -5672;
1134 : -3251;
1135 : -1631;
1136 : 2394;
1137 : 3326;
1138 : 6;
1139 : -3832;
113a : -5393;
113b : -5491;
113c : -3039;
113d : -117;
113e : 4182;
113f : 7451;
1140 : 6311;
1141 : 4345;
1142 : 475;
1143 : -2073;
1144 : -3044;
1145 : -2568;
1146 : -1683;
1147 : -907;
1148 : 377;
1149 : 660;
114a : 3497;
114b : 2864;
114c : 508;
114d : -3534;
114e : -4585;
114f : -2532;
1150 : 778;
1151 : 3093;
1152 : 4158;
1153 : 3702;
1154 : -1338;
1155 : -3844;
1156 : -5565;
1157 : -5041;
1158 : -2377;
1159 : -295;
115a : 4145;
115b : 5092;
115c : 6630;
115d : 2785;
115e : -3040;
115f : -4849;
1160 : -6994;
1161 : -5290;
1162 : -669;
1163 : -351;
1164 : 1457;
1165 : 4108;
1166 : 3897;
1167 : 2029;
1168 : -2455;
1169 : -7065;
116a : -7560;
116b : -3006;
116c : 2699;
116d : 6004;
116e : 7134;
116f : 1796;
1170 : -789;
1171 : -2449;
1172 : -3522;
1173 : 51;
1174 : 1357;
1175 : 2636;
1176 : 5541;
1177 : 7273;
1178 : 5643;
1179 : 2069;
117a : -793;
117b : -3346;
117c : -2147;
117d : 1399;
117e : 3304;
117f : 3382;
1180 : 6702;
1181 : 6048;
1182 : 3410;
1183 : 128;
1184 : -3349;
1185 : -4204;
1186 : -4670;
1187 : -1293;
1188 : 2292;
1189 : 3467;
118a : 849;
118b : -1472;
118c : -2161;
118d : -2132;
118e : -40;
118f : 2065;
1190 : 2907;
1191 : 3348;
1192 : 1601;
1193 : -1738;
1194 : -4520;
1195 : -6528;
1196 : -7826;
1197 : -7387;
1198 : -4908;
1199 : -472;
119a : 282;
119b : 3169;
119c : 4634;
119d : 1215;
119e : -2098;
119f : -6008;
11a0 : -7313;
11a1 : -6267;
11a2 : -2677;
11a3 : 1064;
11a4 : 4190;
11a5 : 2997;
11a6 : -835;
11a7 : -3131;
11a8 : -3613;
11a9 : -1219;
11aa : 1247;
11ab : 3289;
11ac : 5018;
11ad : 7141;
11ae : 5720;
11af : 1087;
11b0 : -1630;
11b1 : -4541;
11b2 : -5662;
11b3 : -2233;
11b4 : 2377;
11b5 : 3977;
11b6 : 7536;
11b7 : 10046;
11b8 : 9350;
11b9 : 5404;
11ba : -637;
11bb : -6494;
11bc : -7750;
11bd : -3999;
11be : -912;
11bf : 3739;
11c0 : 3127;
11c1 : 798;
11c2 : -960;
11c3 : -2150;
11c4 : -480;
11c5 : 1177;
11c6 : 1526;
11c7 : 1592;
11c8 : 2699;
11c9 : 1750;
11ca : -955;
11cb : -3797;
11cc : -5411;
11cd : -6543;
11ce : -5747;
11cf : -2807;
11d0 : -1667;
11d1 : 562;
11d2 : 3621;
11d3 : 4625;
11d4 : 2838;
11d5 : -424;
11d6 : -4309;
11d7 : -8145;
11d8 : -7488;
11d9 : -4159;
11da : 311;
11db : 2452;
11dc : 1869;
11dd : -632;
11de : -1152;
11df : -120;
11e0 : 1923;
11e1 : 4527;
11e2 : 4251;
11e3 : 2989;
11e4 : 1008;
11e5 : -193;
11e6 : -1771;
11e7 : -1724;
11e8 : -1421;
11e9 : -1680;
11ea : 2244;
11eb : 3652;
11ec : 4291;
11ed : 6491;
11ee : 6588;
11ef : 4170;
11f0 : 1435;
11f1 : -1986;
11f2 : -4475;
11f3 : -4715;
11f4 : -2594;
11f5 : 1861;
11f6 : 4423;
11f7 : 3361;
11f8 : -738;
11f9 : -1378;
11fa : -490;
11fb : 957;
11fc : 3601;
11fd : 3239;
11fe : 3610;
11ff : 1853;
1200 : -1777;
1201 : -3709;
1202 : -4823;
1203 : -4844;
1204 : -4340;
1205 : -1861;
1206 : 348;
1207 : 1063;
1208 : 2791;
1209 : 5251;
120a : 4673;
120b : 1028;
120c : -4370;
120d : -8758;
120e : -8150;
120f : -6115;
1210 : -997;
1211 : 2612;
1212 : 3413;
1213 : 573;
1214 : -2535;
1215 : -1232;
1216 : 86;
1217 : 1869;
1218 : 2697;
1219 : 2971;
121a : 2543;
121b : 1414;
121c : -753;
121d : -1806;
121e : -1573;
121f : -2084;
1220 : -1703;
1221 : -35;
1222 : 2360;
1223 : 2456;
1224 : 4996;
1225 : 5515;
1226 : 3428;
1227 : 1621;
1228 : -3623;
1229 : -5861;
122a : -5304;
122b : -3135;
122c : 881;
122d : 3144;
122e : 900;
122f : -1890;
1230 : -1939;
1231 : 172;
1232 : 3075;
1233 : 3895;
1234 : 1805;
1235 : -1158;
1236 : -1818;
1237 : -2769;
1238 : -3855;
1239 : -3036;
123a : -3597;
123b : -3434;
123c : -2596;
123d : -919;
123e : 48;
123f : 1317;
1240 : 2616;
1241 : 2024;
1242 : 1737;
1243 : -487;
1244 : -2788;
1245 : -3642;
1246 : -2173;
1247 : 525;
1248 : 2824;
1249 : 1323;
124a : -1098;
124b : -1374;
124c : -1497;
124d : 1090;
124e : 2882;
124f : 4767;
1250 : 4743;
1251 : 4528;
1252 : 4211;
1253 : 3186;
1254 : 2094;
1255 : 1602;
1256 : 594;
1257 : 329;
1258 : 1425;
1259 : 992;
125a : 3063;
125b : 5126;
125c : 5690;
125d : 2641;
125e : -2356;
125f : -3659;
1260 : -5990;
1261 : -4694;
1262 : -2853;
1263 : -353;
1264 : 1206;
1265 : -2051;
1266 : -4342;
1267 : -4693;
1268 : -6174;
1269 : -1687;
126a : -1492;
126b : -688;
126c : -440;
126d : -770;
126e : -715;
126f : -2480;
1270 : -6216;
1271 : -5466;
1272 : -7437;
1273 : -561;
1274 : 712;
1275 : 1735;
1276 : 5485;
1277 : 8111;
1278 : 8723;
1279 : 5506;
127a : 2840;
127b : 887;
127c : -1022;
127d : 131;
127e : 4504;
127f : 3077;
1280 : 1054;
1281 : -1663;
1282 : -1528;
1283 : 1299;
1284 : 822;
1285 : 2658;
1286 : -470;
1287 : -82;
1288 : 613;
1289 : 3546;
128a : 4407;
128b : 2351;
128c : -10;
128d : -3365;
128e : -3656;
128f : -1708;
1290 : -2458;
1291 : -1470;
1292 : 1057;
1293 : 583;
1294 : 793;
1295 : -2367;
1296 : -5001;
1297 : -5462;
1298 : -4615;
1299 : -1403;
129a : 1418;
129b : -1226;
129c : -3049;
129d : -5485;
129e : -960;
129f : 909;
12a0 : 4299;
12a1 : 5236;
12a2 : 3654;
12a3 : 3928;
12a4 : 3087;
12a5 : 1610;
12a6 : 1776;
12a7 : 1649;
12a8 : -438;
12a9 : -2050;
12aa : -2004;
12ab : -1044;
12ac : 1537;
12ad : 3518;
12ae : 4037;
12af : 5255;
12b0 : 2525;
12b1 : -18;
12b2 : -2099;
12b3 : -4298;
12b4 : -3897;
12b5 : -2409;
12b6 : -1445;
12b7 : -3647;
12b8 : -5791;
12b9 : -4834;
12ba : -3095;
12bb : -2129;
12bc : -164;
12bd : 925;
12be : 832;
12bf : 2993;
12c0 : 2708;
12c1 : 624;
12c2 : -1722;
12c3 : -5142;
12c4 : -5153;
12c5 : -3203;
12c6 : -2065;
12c7 : -174;
12c8 : 1299;
12c9 : 4374;
12ca : 5437;
12cb : 5442;
12cc : 2935;
12cd : -279;
12ce : -1445;
12cf : -1006;
12d0 : 2218;
12d1 : 3737;
12d2 : 1347;
12d3 : 1499;
12d4 : 2769;
12d5 : 4005;
12d6 : 2835;
12d7 : 1203;
12d8 : 295;
12d9 : -1490;
12da : -413;
12db : 2017;
12dc : 2237;
12dd : 1282;
12de : -1602;
12df : -4891;
12e0 : -5956;
12e1 : -5123;
12e2 : -4263;
12e3 : -1579;
12e4 : -46;
12e5 : 2700;
12e6 : 5058;
12e7 : 4205;
12e8 : 48;
12e9 : -3773;
12ea : -3460;
12eb : -3241;
12ec : 664;
12ed : 282;
12ee : -2875;
12ef : -2460;
12f0 : -524;
12f1 : 259;
12f2 : 1705;
12f3 : -311;
12f4 : -463;
12f5 : 1019;
12f6 : 2426;
12f7 : 4767;
12f8 : 5025;
12f9 : 2760;
12fa : -621;
12fb : -2642;
12fc : -1588;
12fd : -470;
12fe : 639;
12ff : -90;
1300 : -86;
1301 : 3378;
1302 : 2567;
1303 : 194;
1304 : -1605;
1305 : -2955;
1306 : -1730;
1307 : -501;
1308 : 841;
1309 : -282;
130a : -644;
130b : -238;
130c : 96;
130d : 585;
130e : 1543;
130f : -362;
1310 : -368;
1311 : 2403;
1312 : 1286;
1313 : -157;
1314 : -2526;
1315 : -3971;
1316 : -6835;
1317 : -6813;
1318 : -4336;
1319 : -4591;
131a : -1158;
131b : -402;
131c : 1480;
131d : 3360;
131e : 737;
131f : -2112;
1320 : -2473;
1321 : -301;
1322 : 2158;
1323 : 3161;
1324 : 1096;
1325 : 856;
1326 : 1794;
1327 : 1870;
1328 : 446;
1329 : 1336;
132a : -656;
132b : -1643;
132c : 694;
132d : 2944;
132e : 3657;
132f : 3811;
1330 : 1459;
1331 : 8;
1332 : -422;
1333 : 1160;
1334 : 1139;
1335 : 1908;
1336 : 2285;
1337 : 4115;
1338 : 3862;
1339 : 3324;
133a : 363;
133b : -3084;
133c : -3127;
133d : -1517;
133e : 967;
133f : 539;
1340 : 132;
1341 : -245;
1342 : 707;
1343 : -176;
1344 : 272;
1345 : 305;
1346 : -2092;
1347 : -1708;
1348 : -821;
1349 : 1057;
134a : 268;
134b : -1171;
134c : -3763;
134d : -4791;
134e : -2796;
134f : -2051;
1350 : -2821;
1351 : -2449;
1352 : -747;
1353 : 349;
1354 : 721;
1355 : 146;
1356 : -3968;
1357 : -3997;
1358 : -3361;
1359 : -1266;
135a : 1405;
135b : 20;
135c : 97;
135d : 752;
135e : 623;
135f : 970;
1360 : 1197;
1361 : 839;
1362 : 2457;
1363 : 5409;
1364 : 5592;
1365 : 3320;
1366 : 3636;
1367 : 343;
1368 : -3356;
1369 : -1125;
136a : 8;
136b : 703;
136c : 1748;
136d : 3288;
136e : 4639;
136f : 5654;
1370 : 2686;
1371 : 111;
1372 : -1210;
1373 : -1413;
1374 : 307;
1375 : 823;
1376 : 202;
1377 : -391;
1378 : 1205;
1379 : -586;
137a : -1033;
137b : -1943;
137c : -3390;
137d : -1875;
137e : -660;
137f : 2085;
1380 : 512;
1381 : 85;
1382 : -543;
1383 : -4667;
1384 : -5592;
1385 : -3648;
1386 : -2717;
1387 : -2489;
1388 : 1236;
1389 : 2671;
138a : 4142;
138b : 3740;
138c : -879;
138d : -4520;
138e : -5486;
138f : -2374;
1390 : -120;
1391 : 842;
1392 : -643;
1393 : -1447;
1394 : -992;
1395 : -1249;
1396 : -289;
1397 : 547;
1398 : -588;
1399 : 776;
139a : 4041;
139b : 3995;
139c : 4588;
139d : 3416;
139e : 976;
139f : 113;
13a0 : 826;
13a1 : 1520;
13a2 : -677;
13a3 : 60;
13a4 : 931;
13a5 : 2123;
13a6 : 3834;
13a7 : 1939;
13a8 : -1005;
13a9 : -3819;
13aa : -2315;
13ab : -189;
13ac : -258;
13ad : -1702;
13ae : -1725;
13af : -1104;
13b0 : -714;
13b1 : 766;
13b2 : 762;
13b3 : 548;
13b4 : -158;
13b5 : 1274;
13b6 : -450;
13b7 : -398;
13b8 : -494;
13b9 : -3226;
13ba : -4746;
13bb : -4376;
13bc : -2178;
13bd : -2687;
13be : -1093;
13bf : 895;
13c0 : 1478;
13c1 : 3542;
13c2 : 2523;
13c3 : 798;
13c4 : -556;
13c5 : -309;
13c6 : 849;
13c7 : 1515;
13c8 : 597;
13c9 : -551;
13ca : -152;
13cb : -56;
13cc : 82;
13cd : 444;
13ce : 602;
13cf : 253;
13d0 : 3124;
13d1 : 4046;
13d2 : 2083;
13d3 : 2618;
13d4 : 1499;
13d5 : -2132;
13d6 : -2518;
13d7 : -171;
13d8 : 266;
13d9 : 1087;
13da : 2630;
13db : 4686;
13dc : 5992;
13dd : 5539;
13de : 548;
13df : -3276;
13e0 : -4074;
13e1 : -3869;
13e2 : -1505;
13e3 : -1498;
13e4 : 805;
13e5 : 4999;
13e6 : 3996;
13e7 : 268;
13e8 : -281;
13e9 : -5356;
13ea : -14456;
13eb : -14028;
13ec : -9145;
13ed : -863;
13ee : 8040;
13ef : 12539;
13f0 : 8609;
13f1 : 1696;
13f2 : -2132;
13f3 : -3155;
13f4 : -3060;
13f5 : -683;
13f6 : 3807;
13f7 : 6588;
13f8 : 4933;
13f9 : -2737;
13fa : -8234;
13fb : -9598;
13fc : -10408;
13fd : -4846;
13fe : -5242;
13ff : -3244;
1400 : 8727;
1401 : 11922;
1402 : 7587;
1403 : 10445;
1404 : 9056;
1405 : 621;
1406 : -6738;
1407 : -11051;
1408 : -12398;
1409 : -8463;
140a : 825;
140b : 5596;
140c : 4556;
140d : 2593;
140e : 2485;
140f : 3569;
1410 : 3892;
1411 : 6718;
1412 : 11045;
1413 : 10208;
1414 : 4357;
1415 : -1593;
1416 : -4233;
1417 : -11200;
1418 : -7976;
1419 : -6657;
141a : -8654;
141b : 1039;
141c : 7147;
141d : 8534;
141e : 13500;
141f : 10968;
1420 : 3030;
1421 : -4911;
1422 : -10651;
1423 : -13397;
1424 : -12519;
1425 : -4774;
1426 : -2156;
1427 : -2863;
1428 : -2944;
1429 : -3881;
142a : -2687;
142b : -230;
142c : 2016;
142d : 7829;
142e : 9160;
142f : 8420;
1430 : 2647;
1431 : 1195;
1432 : -7791;
1433 : -11015;
1434 : -9910;
1435 : -11778;
1436 : -2023;
1437 : 5122;
1438 : 5524;
1439 : 12770;
143a : 10664;
143b : 4157;
143c : -880;
143d : -4021;
143e : -4563;
143f : -6947;
1440 : 402;
1441 : 3762;
1442 : 3008;
1443 : 1406;
1444 : -660;
1445 : -925;
1446 : 1400;
1447 : 2003;
1448 : 7728;
1449 : 8042;
144a : 9682;
144b : 5565;
144c : 2036;
144d : -4143;
144e : -9660;
144f : -8743;
1450 : -11360;
1451 : -4248;
1452 : 5329;
1453 : 4652;
1454 : 10656;
1455 : 12474;
1456 : 6068;
1457 : 1019;
1458 : -4753;
1459 : -6708;
145a : -10151;
145b : -4999;
145c : -838;
145d : -1366;
145e : -3120;
145f : -5405;
1460 : -5729;
1461 : -850;
1462 : 371;
1463 : 4935;
1464 : 4800;
1465 : 6254;
1466 : 5011;
1467 : 1835;
1468 : -2541;
1469 : -7762;
146a : -6537;
146b : -8691;
146c : -5094;
146d : 4420;
146e : 1256;
146f : 3056;
1470 : 7082;
1471 : 2741;
1472 : 1050;
1473 : -1704;
1474 : -1971;
1475 : -4793;
1476 : 184;
1477 : 3740;
1478 : 4070;
1479 : 906;
147a : -2668;
147b : -4225;
147c : -2853;
147d : -194;
147e : 6280;
147f : 8494;
1480 : 9222;
1481 : 7930;
1482 : 3708;
1483 : -700;
1484 : -6711;
1485 : -5668;
1486 : -5596;
1487 : -4510;
1488 : 4679;
1489 : 2378;
148a : 1045;
148b : 5040;
148c : -46;
148d : -1438;
148e : -4331;
148f : -4208;
1490 : -6717;
1491 : -1932;
1492 : 4631;
1493 : 6081;
1494 : 1577;
1495 : -3408;
1496 : -5755;
1497 : -6466;
1498 : -5346;
1499 : -877;
149a : 3574;
149b : 5500;
149c : 6841;
149d : 4755;
149e : 1193;
149f : -5160;
14a0 : -5948;
14a1 : -4606;
14a2 : -5067;
14a3 : 5144;
14a4 : 5186;
14a5 : 597;
14a6 : 4371;
14a7 : 1081;
14a8 : -317;
14a9 : -3731;
14aa : -3760;
14ab : -5321;
14ac : -1932;
14ad : 5738;
14ae : 9751;
14af : 6824;
14b0 : -44;
14b1 : -3025;
14b2 : -3052;
14b3 : -2033;
14b4 : -459;
14b5 : 3436;
14b6 : 3816;
14b7 : 5928;
14b8 : 5696;
14b9 : 3383;
14ba : -1936;
14bb : -3644;
14bc : -1878;
14bd : -3856;
14be : 3873;
14bf : 6043;
14c0 : -614;
14c1 : 2136;
14c2 : -187;
14c3 : -1640;
14c4 : -3879;
14c5 : -5293;
14c6 : -5854;
14c7 : -4050;
14c8 : 1221;
14c9 : 3649;
14ca : 2536;
14cb : -3101;
14cc : -4221;
14cd : -4822;
14ce : -3157;
14cf : -3038;
14d0 : 535;
14d1 : 623;
14d2 : 1991;
14d3 : 2728;
14d4 : 1501;
14d5 : -1800;
14d6 : -2545;
14d7 : -112;
14d8 : -3426;
14d9 : 2061;
14da : 7415;
14db : 902;
14dc : 987;
14dd : -385;
14de : -3308;
14df : -5430;
14e0 : -5353;
14e1 : -3623;
14e2 : -759;
14e3 : 6722;
14e4 : 9957;
14e5 : 8736;
14e6 : 1099;
14e7 : -1151;
14e8 : -2967;
14e9 : -1038;
14ea : -824;
14eb : 1763;
14ec : 3282;
14ed : 3458;
14ee : 4584;
14ef : 4150;
14f0 : 58;
14f1 : -3928;
14f2 : -2219;
14f3 : -4828;
14f4 : -640;
14f5 : 7190;
14f6 : 2941;
14f7 : 2563;
14f8 : 3556;
14f9 : 372;
14fa : -3828;
14fb : -6806;
14fc : -6820;
14fd : -6370;
14fe : 1261;
14ff : 5007;
1500 : 5780;
1501 : -2058;
1502 : -5921;
1503 : -6944;
1504 : -4171;
1505 : -4168;
1506 : -1972;
1507 : 255;
1508 : -10;
1509 : 2806;
150a : 3547;
150b : 2223;
150c : -2022;
150d : -1099;
150e : -2926;
150f : -1755;
1510 : 6231;
1511 : 2848;
1512 : 975;
1513 : 3113;
1514 : 271;
1515 : -3178;
1516 : -5985;
1517 : -4369;
1518 : -2804;
1519 : 2547;
151a : 5931;
151b : 7615;
151c : 1643;
151d : -2560;
151e : -3915;
151f : -141;
1520 : 1737;
1521 : 3928;
1522 : 5579;
1523 : 1566;
1524 : 2556;
1525 : 3200;
1526 : 3458;
1527 : -4;
1528 : 139;
1529 : -1449;
152a : -3908;
152b : 3241;
152c : 2688;
152d : -1346;
152e : 1655;
152f : -144;
1530 : -2944;
1531 : -5034;
1532 : -4479;
1533 : -3570;
1534 : 554;
1535 : 4153;
1536 : 5681;
1537 : 714;
1538 : -5409;
1539 : -8139;
153a : -5480;
153b : -2648;
153c : -1519;
153d : 2002;
153e : -981;
153f : -241;
1540 : 2596;
1541 : 2857;
1542 : -1369;
1543 : -2494;
1544 : -2030;
1545 : -3832;
1546 : 2867;
1547 : 5411;
1548 : 404;
1549 : 3239;
154a : 2914;
154b : -1021;
154c : -4235;
154d : -5757;
154e : -5046;
154f : -169;
1550 : 6255;
1551 : 9830;
1552 : 6588;
1553 : -809;
1554 : -4330;
1555 : -3787;
1556 : -1586;
1557 : -2005;
1558 : 2159;
1559 : 1081;
155a : 1848;
155b : 4380;
155c : 6424;
155d : 2210;
155e : -1198;
155f : -1115;
1560 : -4361;
1561 : 301;
1562 : 5693;
1563 : 1435;
1564 : 2492;
1565 : 2879;
1566 : -990;
1567 : -4044;
1568 : -6296;
1569 : -5706;
156a : -4479;
156b : 599;
156c : 4142;
156d : 4122;
156e : -1079;
156f : -4827;
1570 : -4299;
1571 : -1777;
1572 : -2715;
1573 : 535;
1574 : -1139;
1575 : -1920;
1576 : -183;
1577 : 3219;
1578 : 1705;
1579 : -2012;
157a : -917;
157b : -3838;
157c : -1026;
157d : 6712;
157e : 2048;
157f : 776;
1580 : 3146;
1581 : -287;
1582 : -2010;
1583 : -5920;
1584 : -5004;
1585 : -2825;
1586 : 2374;
1587 : 6254;
1588 : 6826;
1589 : 2954;
158a : -1172;
158b : -2550;
158c : 1291;
158d : 601;
158e : 3446;
158f : 2994;
1590 : 1092;
1591 : 2291;
1592 : 4188;
1593 : 2124;
1594 : -3250;
1595 : -3162;
1596 : -4656;
1597 : -3720;
1598 : 6112;
1599 : 4708;
159a : 1718;
159b : 5616;
159c : 2023;
159d : -257;
159e : -6166;
159f : -8036;
15a0 : -6653;
15a1 : -1927;
15a2 : 3469;
15a3 : 4519;
15a4 : 1196;
15a5 : -1568;
15a6 : -5003;
15a7 : -3921;
15a8 : -5303;
15a9 : -4160;
15aa : -844;
15ab : -1306;
15ac : 942;
15ad : 4844;
15ae : 4707;
15af : -1109;
15b0 : -2785;
15b1 : -4246;
15b2 : -5704;
15b3 : 2663;
15b4 : 5254;
15b5 : 1205;
15b6 : 5367;
15b7 : 3363;
15b8 : 1626;
15b9 : -2828;
15ba : -5154;
15bb : -5643;
15bc : -3233;
15bd : 2853;
15be : 5646;
15bf : 3953;
15c0 : 1924;
15c1 : -616;
15c2 : 872;
15c3 : 818;
15c4 : -199;
15c5 : 2535;
15c6 : 435;
15c7 : 307;
15c8 : 3929;
15c9 : 6300;
15ca : 2427;
15cb : -242;
15cc : -2454;
15cd : -5750;
15ce : 129;
15cf : 4133;
15d0 : -1383;
15d1 : 1984;
15d2 : 2261;
15d3 : 1104;
15d4 : -2192;
15d5 : -5226;
15d6 : -5342;
15d7 : -4481;
15d8 : 814;
15d9 : 3390;
15da : 2359;
15db : -478;
15dc : -3350;
15dd : -2840;
15de : -2024;
15df : -4290;
15e0 : -1322;
15e1 : -2133;
15e2 : -1579;
15e3 : 1270;
15e4 : 3428;
15e5 : 736;
15e6 : -2126;
15e7 : -2403;
15e8 : -5180;
15e9 : -1533;
15ea : 5931;
15eb : 1060;
15ec : 2743;
15ed : 3590;
15ee : 1505;
15ef : -1424;
15f0 : -5599;
15f1 : -4838;
15f2 : -3638;
15f3 : 3115;
15f4 : 6581;
15f5 : 6169;
15f6 : 3602;
15f7 : 274;
15f8 : -2041;
15f9 : -326;
15fa : -2906;
15fb : 297;
15fc : 1187;
15fd : 560;
15fe : 3789;
15ff : 7002;
1600 : 5694;
1601 : 561;
1602 : -933;
1603 : -3730;
1604 : -4495;
1605 : 3857;
1606 : 1365;
1607 : 1663;
1608 : 4380;
1609 : 1700;
160a : 111;
160b : -4233;
160c : -6186;
160d : -7313;
160e : -1614;
160f : 2387;
1610 : 3241;
1611 : 635;
1612 : -1082;
1613 : -4748;
1614 : -3443;
1615 : -4832;
1616 : -2958;
1617 : -917;
1618 : -2239;
1619 : 1558;
161a : 5346;
161b : 5637;
161c : 1136;
161d : -1976;
161e : -5025;
161f : -7098;
1620 : 2367;
1621 : 2701;
1622 : 118;
1623 : 3994;
1624 : 3066;
1625 : 937;
1626 : -3207;
1627 : -3952;
1628 : -5277;
1629 : -1732;
162a : 3302;
162b : 6611;
162c : 3756;
162d : 2677;
162e : 787;
162f : 453;
1630 : -2606;
1631 : -3509;
1632 : 351;
1633 : -57;
1634 : 1404;
1635 : 5314;
1636 : 7194;
1637 : 3895;
1638 : 855;
1639 : -1400;
163a : -6263;
163b : -1072;
163c : 799;
163d : -1696;
163e : 1515;
163f : 2212;
1640 : 2322;
1641 : 610;
1642 : -1739;
1643 : -4624;
1644 : -2814;
1645 : -28;
1646 : 1900;
1647 : 513;
1648 : -1394;
1649 : -3359;
164a : -2827;
164b : -3044;
164c : -4634;
164d : -1839;
164e : -2398;
164f : -1494;
1650 : 1234;
1651 : 4791;
1652 : 2660;
1653 : -661;
1654 : -748;
1655 : -4732;
1656 : 426;
1657 : 4466;
1658 : 189;
1659 : 883;
165a : 680;
165b : 10;
165c : -825;
165d : -2292;
165e : -2925;
165f : -1604;
1660 : 2896;
1661 : 5950;
1662 : 3715;
1663 : 1288;
1664 : -699;
1665 : -1853;
1666 : -820;
1667 : -1916;
1668 : 910;
1669 : 1360;
166a : 1166;
166b : 2932;
166c : 5808;
166d : 4204;
166e : 48;
166f : -135;
1670 : -4563;
1671 : -2470;
1672 : 4064;
1673 : 1068;
1674 : 653;
1675 : 2379;
1676 : 824;
1677 : -235;
1678 : -2977;
1679 : -4693;
167a : -5123;
167b : -1543;
167c : 2019;
167d : 2764;
167e : 279;
167f : -782;
1680 : -2992;
1681 : -2786;
1682 : -5243;
1683 : -3229;
1684 : -1296;
1685 : -1630;
1686 : 71;
1687 : 3370;
1688 : 3978;
1689 : 190;
168a : -262;
168b : -3877;
168c : -4315;
168d : 2316;
168e : 1862;
168f : 722;
1690 : 3376;
1691 : 1982;
1692 : 1719;
1693 : -754;
1694 : -2808;
1695 : -3934;
1696 : -1066;
1697 : 2900;
1698 : 4585;
1699 : 1209;
169a : 840;
169b : -957;
169c : 290;
169d : -514;
169e : 431;
169f : 2460;
16a0 : 1212;
16a1 : 1799;
16a2 : 3346;
16a3 : 4554;
16a4 : 558;
16a5 : 102;
16a6 : -2407;
16a7 : -4088;
16a8 : 2341;
16a9 : 2976;
16aa : -38;
16ab : 2541;
16ac : 1301;
16ad : 1066;
16ae : -964;
16af : -3205;
16b0 : -5151;
16b1 : -3454;
16b2 : 97;
16b3 : 2616;
16b4 : 184;
16b5 : -735;
16b6 : -3064;
16b7 : -3456;
16b8 : -4614;
16b9 : -4060;
16ba : -320;
16bb : -409;
16bc : 385;
16bd : 1662;
16be : 3542;
16bf : 414;
16c0 : -1115;
16c1 : -2976;
16c2 : -6073;
16c3 : -1231;
16c4 : 3077;
16c5 : 268;
16c6 : 3207;
16c7 : 3208;
16c8 : 2087;
16c9 : 862;
16ca : -1646;
16cb : -3586;
16cc : -4176;
16cd : -423;
16ce : 3897;
16cf : 2909;
16d0 : 2359;
16d1 : 1549;
16d2 : 406;
16d3 : -422;
16d4 : -2264;
16d5 : 1368;
16d6 : 1500;
16d7 : 1220;
16d8 : 2443;
16d9 : 4519;
16da : 3270;
16db : 1725;
16dc : 380;
16dd : -3958;
16de : -2785;
16df : 1741;
16e0 : -1575;
16e1 : 292;
16e2 : 2839;
16e3 : 2049;
16e4 : 2586;
16e5 : 158;
16e6 : -2376;
16e7 : -4860;
16e8 : -3309;
16e9 : 214;
16ea : 303;
16eb : -1399;
16ec : -874;
16ed : -2349;
16ee : -2221;
16ef : -3950;
16f0 : -1690;
16f1 : -801;
16f2 : -1065;
16f3 : 7;
16f4 : 1437;
16f5 : 1083;
16f6 : -102;
16f7 : -443;
16f8 : -2915;
16f9 : -2197;
16fa : 2767;
16fb : 527;
16fc : -294;
16fd : 2618;
16fe : 2776;
16ff : 4177;
1700 : 1314;
1701 : -506;
1702 : -3991;
1703 : -5111;
1704 : -2781;
1705 : -362;
1706 : -302;
1707 : 2888;
1708 : 2843;
1709 : 2710;
170a : -753;
170b : 955;
170c : 3305;
170d : 4140;
170e : 3802;
170f : 1931;
1710 : 969;
1711 : -168;
1712 : 1414;
1713 : 1666;
1714 : 279;
1715 : 4754;
1716 : 2850;
1717 : -3041;
1718 : -3320;
1719 : -5284;
171a : -1433;
171b : 338;
171c : 209;
171d : -3060;
171e : -4753;
171f : -2324;
1720 : 709;
1721 : -91;
1722 : 1154;
1723 : -2881;
1724 : -4109;
1725 : -3923;
1726 : -940;
1727 : 4180;
1728 : 5219;
1729 : 5477;
172a : 3288;
172b : -1357;
172c : -6997;
172d : -7459;
172e : -5453;
172f : -4979;
1730 : 951;
1731 : 3301;
1732 : -575;
1733 : 4216;
1734 : 3120;
1735 : 3133;
1736 : 1443;
1737 : -2185;
1738 : -4886;
1739 : -3229;
173a : 1746;
173b : 7738;
173c : 6253;
173d : 6419;
173e : 3925;
173f : -1224;
1740 : -7193;
1741 : -8734;
1742 : -2950;
1743 : -22;
1744 : 2667;
1745 : 4446;
1746 : 3855;
1747 : 2468;
1748 : 1605;
1749 : 441;
174a : -1426;
174b : -956;
174c : 720;
174d : -1546;
174e : 3453;
174f : 5297;
1750 : 5223;
1751 : 4444;
1752 : 1203;
1753 : -3253;
1754 : -7669;
1755 : -7869;
1756 : -2044;
1757 : -976;
1758 : -194;
1759 : 844;
175a : -2391;
175b : -5501;
175c : -7128;
175d : -4095;
175e : -911;
175f : 308;
1760 : 516;
1761 : 2380;
1762 : 3293;
1763 : 4132;
1764 : 4108;
1765 : 1206;
1766 : 388;
1767 : 2252;
1768 : -3492;
1769 : -3421;
176a : -1150;
176b : -1508;
176c : -272;
176d : -412;
176e : -1478;
176f : -2449;
1770 : -174;
1771 : 3076;
1772 : 4876;
1773 : 2941;
1774 : 1742;
1775 : 241;
1776 : -1281;
1777 : -2232;
1778 : 1304;
1779 : 3607;
177a : 5004;
177b : 5520;
177c : 2460;
177d : -767;
177e : -1208;
177f : -582;
1780 : -2541;
1781 : -3011;
1782 : 529;
1783 : -1909;
1784 : 171;
1785 : 3856;
1786 : 2326;
1787 : 1220;
1788 : -3705;
1789 : -4514;
178a : -3937;
178b : -983;
178c : 4188;
178d : 6495;
178e : 3778;
178f : 3721;
1790 : -954;
1791 : -6833;
1792 : -11082;
1793 : -8584;
1794 : -5623;
1795 : -2970;
1796 : 308;
1797 : 563;
1798 : 2071;
1799 : 2325;
179a : 2776;
179b : 1466;
179c : -3609;
179d : -1433;
179e : -620;
179f : 229;
17a0 : 6405;
17a1 : 6295;
17a2 : 5413;
17a3 : 2249;
17a4 : -1888;
17a5 : -5371;
17a6 : -5552;
17a7 : -1567;
17a8 : 3253;
17a9 : 1527;
17aa : 2232;
17ab : 6;
17ac : -1619;
17ad : -3807;
17ae : -2730;
17af : 1715;
17b0 : 777;
17b1 : 1468;
17b2 : 2939;
17b3 : 3930;
17b4 : 5620;
17b5 : 6685;
17b6 : 4944;
17b7 : 960;
17b8 : -106;
17b9 : -2578;
17ba : -6107;
17bb : -402;
17bc : 1155;
17bd : 342;
17be : -449;
17bf : -3654;
17c0 : -4974;
17c1 : -3093;
17c2 : -1418;
17c3 : 4219;
17c4 : 2047;
17c5 : -278;
17c6 : 116;
17c7 : -1726;
17c8 : -4539;
17c9 : -4567;
17ca : -669;
17cb : 1256;
17cc : 2774;
17cd : 2305;
17ce : -979;
17cf : -1794;
17d0 : 163;
17d1 : -722;
17d2 : -3405;
17d3 : -3539;
17d4 : -2352;
17d5 : -2700;
17d6 : 2033;
17d7 : 5519;
17d8 : 3779;
17d9 : -229;
17da : -2592;
17db : -2748;
17dc : 367;
17dd : 3224;
17de : 7186;
17df : 6698;
17e0 : 4167;
17e1 : 3339;
17e2 : -728;
17e3 : -5832;
17e4 : -7623;
17e5 : -4379;
17e6 : -1001;
17e7 : 1395;
17e8 : 2946;
17e9 : 4059;
17ea : 2549;
17eb : 3179;
17ec : 3115;
17ed : -2689;
17ee : -3664;
17ef : -597;
17f0 : -1238;
17f1 : 3162;
17f2 : 6282;
17f3 : 5412;
17f4 : 4603;
17f5 : 639;
17f6 : -4308;
17f7 : -6064;
17f8 : -5262;
17f9 : -2098;
17fa : -130;
17fb : -1483;
17fc : -1147;
17fd : -818;
17fe : -3541;
17ff : -7518;
1800 : -4442;
1801 : -3645;
1802 : -2422;
1803 : 1908;
1804 : 3618;
1805 : 3889;
1806 : 4407;
1807 : 4635;
1808 : 1601;
1809 : -879;
180a : -968;
180b : -5164;
180c : -3212;
180d : 1824;
180e : 1053;
180f : 1312;
1810 : -1550;
1811 : -2693;
1812 : -1145;
1813 : -945;
1814 : 2494;
1815 : 3728;
1816 : 657;
1817 : 2219;
1818 : 2892;
1819 : 1409;
181a : -2496;
181b : -1258;
181c : 2750;
181d : 4021;
181e : 5193;
181f : 2347;
1820 : -293;
1821 : 52;
1822 : 880;
1823 : -1039;
1824 : -4160;
1825 : -2689;
1826 : -2086;
1827 : -2338;
1828 : 4067;
1829 : 3589;
182a : 848;
182b : -778;
182c : -2399;
182d : -1692;
182e : -204;
182f : 1608;
1830 : 4728;
1831 : 2966;
1832 : 1971;
1833 : -668;
1834 : -4281;
1835 : -8100;
1836 : -9313;
1837 : -5488;
1838 : -3482;
1839 : -246;
183a : 3383;
183b : 1677;
183c : 1034;
183d : 2935;
183e : -22;
183f : -3301;
1840 : -1302;
1841 : -210;
1842 : -1185;
1843 : 4385;
1844 : 6082;
1845 : 5871;
1846 : 3399;
1847 : -1947;
1848 : -5490;
1849 : -3956;
184a : -1836;
184b : 2131;
184c : 1837;
184d : 1282;
184e : 2661;
184f : 1422;
1850 : -2520;
1851 : -3771;
1852 : -2513;
1853 : -1986;
1854 : 2129;
1855 : 6160;
1856 : 5885;
1857 : 3756;
1858 : 5018;
1859 : 6421;
185a : 1849;
185b : -1097;
185c : -3021;
185d : -6498;
185e : -1423;
185f : 1344;
1860 : 2042;
1861 : 142;
1862 : -2180;
1863 : -3260;
1864 : -4483;
1865 : -3106;
1866 : -362;
1867 : -962;
1868 : 438;
1869 : 3651;
186a : 2539;
186b : -885;
186c : -4243;
186d : -1898;
186e : -1371;
186f : -1297;
1870 : -254;
1871 : -606;
1872 : -510;
1873 : -1384;
1874 : 593;
1875 : -1125;
1876 : -2830;
1877 : 561;
1878 : -3125;
1879 : -451;
187a : 3444;
187b : 2254;
187c : 2929;
187d : 572;
187e : -1599;
187f : -1299;
1880 : -855;
1881 : 3209;
1882 : 4492;
1883 : 2814;
1884 : 3680;
1885 : 1980;
1886 : 726;
1887 : -3026;
1888 : -3581;
1889 : -2641;
188a : -3146;
188b : 1934;
188c : 2435;
188d : 2524;
188e : 2880;
188f : 692;
1890 : -238;
1891 : -624;
1892 : 1793;
1893 : -476;
1894 : -8;
1895 : 4865;
1896 : 6020;
1897 : 5871;
1898 : 1048;
1899 : -5132;
189a : -5363;
189b : -4544;
189c : -3486;
189d : -2178;
189e : -5784;
189f : -1049;
18a0 : 2109;
18a1 : 1017;
18a2 : -1488;
18a3 : -4856;
18a4 : -5031;
18a5 : -4348;
18a6 : 349;
18a7 : 2652;
18a8 : 3259;
18a9 : 4291;
18aa : 5308;
18ab : 1535;
18ac : -3889;
18ad : -3613;
18ae : -3356;
18af : -1774;
18b0 : 2509;
18b1 : 3022;
18b2 : 2119;
18b3 : 1722;
18b4 : -128;
18b5 : -3338;
18b6 : -2274;
18b7 : -2016;
18b8 : -759;
18b9 : -1645;
18ba : 1363;
18bb : 4851;
18bc : 5822;
18bd : 4635;
18be : 2574;
18bf : 2006;
18c0 : -2343;
18c1 : -2493;
18c2 : -388;
18c3 : 2582;
18c4 : 3269;
18c5 : 4888;
18c6 : 2531;
18c7 : -3505;
18c8 : -2246;
18c9 : -2338;
18ca : -2891;
18cb : 1467;
18cc : 1169;
18cd : 1509;
18ce : 2241;
18cf : 88;
18d0 : -2591;
18d1 : -2412;
18d2 : -2655;
18d3 : -1564;
18d4 : -5942;
18d5 : -8149;
18d6 : -6623;
18d7 : -3265;
18d8 : -2671;
18d9 : -4568;
18da : -4830;
18db : -9602;
18dc : -5947;
18dd : -3406;
18de : -1105;
18df : 1188;
18e0 : -2943;
18e1 : 4322;
18e2 : 1833;
18e3 : 2568;
18e4 : 4081;
18e5 : 2711;
18e6 : 7485;
18e7 : 11166;
18e8 : 9181;
18e9 : 7299;
18ea : 4288;
18eb : 2872;
18ec : 4002;
18ed : 2810;
18ee : 5054;
18ef : 2115;
18f0 : 1060;
18f1 : 3794;
18f2 : 3609;
18f3 : 5994;
18f4 : 2994;
18f5 : 3248;
18f6 : 2751;
18f7 : 3583;
18f8 : 6665;
18f9 : 8672;
18fa : 9723;
18fb : 9843;
18fc : 8405;
18fd : 2247;
18fe : -4146;
18ff : -4626;
1900 : -4371;
1901 : -3038;
1902 : 2073;
1903 : -1344;
1904 : -2163;
1905 : -76;
1906 : -4390;
1907 : -3817;
1908 : -6944;
1909 : -7462;
190a : -6441;
190b : -9177;
190c : -5404;
190d : -4053;
190e : -3475;
190f : -4471;
1910 : -4455;
1911 : -6133;
1912 : -8724;
1913 : -7372;
1914 : -3643;
1915 : -2159;
1916 : -2046;
1917 : -1910;
1918 : -5530;
1919 : -6746;
191a : -7130;
191b : -8284;
191c : -7473;
191d : -3688;
191e : -1948;
191f : -973;
1920 : 380;
1921 : -1217;
1922 : -867;
1923 : -1106;
1924 : -1191;
1925 : -1954;
1926 : -6613;
1927 : -5110;
1928 : -579;
1929 : 977;
192a : 1044;
192b : 388;
192c : -1344;
192d : -608;
192e : 300;
192f : 2393;
1930 : 5307;
1931 : 3590;
1932 : 5174;
1933 : 4290;
1934 : 1671;
1935 : 3246;
1936 : 2686;
1937 : 2474;
1938 : 6938;
1939 : 7080;
193a : 5515;
193b : 4009;
193c : 3080;
193d : 4715;
193e : 3778;
193f : 3739;
1940 : 2874;
1941 : -792;
1942 : 297;
1943 : 873;
1944 : 2323;
1945 : 1492;
1946 : 243;
1947 : 1319;
1948 : 965;
1949 : 3593;
194a : 6193;
194b : 8788;
194c : 9222;
194d : 8727;
194e : 5445;
194f : 140;
1950 : 701;
1951 : 2850;
1952 : 894;
1953 : 4883;
1954 : 5861;
1955 : 4583;
1956 : 6009;
1957 : 2659;
1958 : 1895;
1959 : 1416;
195a : -161;
195b : 2339;
195c : 516;
195d : 1244;
195e : 4043;
195f : 4577;
1960 : 3952;
1961 : 2523;
1962 : 935;
1963 : -2902;
1964 : -2496;
1965 : 1393;
1966 : 3422;
1967 : 4141;
1968 : 4407;
1969 : 1978;
196a : -904;
196b : -3392;
196c : -3432;
196d : -6113;
196e : -5461;
196f : -1978;
1970 : -1773;
1971 : -32;
1972 : -1168;
1973 : -2618;
1974 : -1825;
1975 : -3165;
1976 : -3483;
1977 : -7719;
1978 : -10417;
1979 : -5611;
197a : -3759;
197b : -4257;
197c : -5490;
197d : -6488;
197e : -5932;
197f : -6421;
1980 : -5575;
1981 : -3210;
1982 : -3827;
1983 : -3101;
1984 : -1611;
1985 : -2770;
1986 : -3117;
1987 : -1420;
1988 : -3465;
1989 : -2264;
198a : 237;
198b : -1441;
198c : -1899;
198d : -720;
198e : -1195;
198f : -638;
1990 : -370;
1991 : 292;
1992 : 141;
1993 : -1764;
1994 : -1028;
1995 : 1815;
1996 : 1118;
1997 : -1092;
1998 : -1360;
1999 : -969;
199a : -161;
199b : 2732;
199c : 5301;
199d : 6596;
199e : 5519;
199f : 4116;
19a0 : -495;
19a1 : -2947;
19a2 : 460;
19a3 : -1611;
19a4 : -2372;
19a5 : -43;
19a6 : -560;
19a7 : 225;
19a8 : -646;
19a9 : -1451;
19aa : -1542;
19ab : -3449;
19ac : -2897;
19ad : -1845;
19ae : -2970;
19af : -324;
19b0 : 2605;
19b1 : 3274;
19b2 : 1968;
19b3 : -561;
19b4 : -3535;
19b5 : -5832;
19b6 : -2073;
19b7 : 419;
19b8 : 2349;
19b9 : 2545;
19ba : 2414;
19bb : 2565;
19bc : -1099;
19bd : 419;
19be : 95;
19bf : -3645;
19c0 : -1097;
19c1 : -297;
19c2 : -543;
19c3 : 1742;
19c4 : 1987;
19c5 : 3631;
19c6 : 3696;
19c7 : 2463;
19c8 : 1359;
19c9 : -2186;
19ca : 642;
19cb : 4198;
19cc : 4167;
19cd : 3612;
19ce : 691;
19cf : 2205;
19d0 : 326;
19d1 : 701;
19d2 : 3546;
19d3 : 2999;
19d4 : 2484;
19d5 : 3180;
19d6 : 3574;
19d7 : 1991;
19d8 : 4044;
19d9 : 4409;
19da : 1441;
19db : 1739;
19dc : -185;
19dd : -3384;
19de : -1225;
19df : -221;
19e0 : -363;
19e1 : 958;
19e2 : -833;
19e3 : 1033;
19e4 : -1709;
19e5 : -3850;
19e6 : -2013;
19e7 : -4248;
19e8 : -8781;
19e9 : -12838;
19ea : -13162;
19eb : -13460;
19ec : -8716;
19ed : -1910;
19ee : 3043;
19ef : 4718;
19f0 : 3134;
19f1 : 27;
19f2 : -3774;
19f3 : -2003;
19f4 : 110;
19f5 : -200;
19f6 : 873;
19f7 : 1044;
19f8 : -2312;
19f9 : -6247;
19fa : -7299;
19fb : -8274;
19fc : -6799;
19fd : -4944;
19fe : -966;
19ff : 744;
1a00 : 3891;
1a01 : 9945;
1a02 : 10982;
1a03 : 9907;
1a04 : 4960;
1a05 : -221;
1a06 : -5916;
1a07 : -7364;
1a08 : -6879;
1a09 : -4618;
1a0a : 239;
1a0b : 4494;
1a0c : 10505;
1a0d : 7028;
1a0e : 6601;
1a0f : 7680;
1a10 : 2899;
1a11 : 3059;
1a12 : 7991;
1a13 : 8449;
1a14 : 8410;
1a15 : 6940;
1a16 : 1505;
1a17 : -785;
1a18 : -2425;
1a19 : -1170;
1a1a : -2434;
1a1b : -1339;
1a1c : 5136;
1a1d : 10559;
1a1e : 13139;
1a1f : 10133;
1a20 : 9192;
1a21 : 5360;
1a22 : -795;
1a23 : -1703;
1a24 : -5235;
1a25 : -8021;
1a26 : -7917;
1a27 : -2897;
1a28 : 512;
1a29 : 2559;
1a2a : 5360;
1a2b : 3808;
1a2c : 1353;
1a2d : 1504;
1a2e : 1035;
1a2f : 2885;
1a30 : 7329;
1a31 : 3764;
1a32 : 608;
1a33 : -6158;
1a34 : -8809;
1a35 : -8546;
1a36 : -10272;
1a37 : -5960;
1a38 : -3719;
1a39 : -1171;
1a3a : 1539;
1a3b : 4301;
1a3c : 5127;
1a3d : 4410;
1a3e : 4625;
1a3f : 2578;
1a40 : -378;
1a41 : -5680;
1a42 : -9292;
1a43 : -11260;
1a44 : -8420;
1a45 : -2569;
1a46 : 342;
1a47 : -293;
1a48 : 3066;
1a49 : 4417;
1a4a : 959;
1a4b : 2991;
1a4c : 2272;
1a4d : 981;
1a4e : -291;
1a4f : -3350;
1a50 : -6909;
1a51 : -11407;
1a52 : -9735;
1a53 : -5248;
1a54 : -3891;
1a55 : -5937;
1a56 : -6105;
1a57 : -2999;
1a58 : -530;
1a59 : 2250;
1a5a : 3068;
1a5b : 3309;
1a5c : -631;
1a5d : -1531;
1a5e : -6639;
1a5f : -12116;
1a60 : -11091;
1a61 : -9136;
1a62 : -6991;
1a63 : -1120;
1a64 : 3794;
1a65 : 4075;
1a66 : 6734;
1a67 : 5491;
1a68 : 5126;
1a69 : 6180;
1a6a : 5530;
1a6b : 4339;
1a6c : 451;
1a6d : -2549;
1a6e : -2977;
1a6f : -1414;
1a70 : -2010;
1a71 : -1383;
1a72 : -1452;
1a73 : -758;
1a74 : 5451;
1a75 : 9046;
1a76 : 10276;
1a77 : 10210;
1a78 : 10475;
1a79 : 9244;
1a7a : 4119;
1a7b : 736;
1a7c : -967;
1a7d : -5343;
1a7e : -3070;
1a7f : 2023;
1a80 : 4108;
1a81 : 9263;
1a82 : 10068;
1a83 : 8055;
1a84 : 6761;
1a85 : 5865;
1a86 : 8002;
1a87 : 6233;
1a88 : 5662;
1a89 : 3942;
1a8a : -273;
1a8b : -4266;
1a8c : -6269;
1a8d : -4572;
1a8e : -4328;
1a8f : -3701;
1a90 : 989;
1a91 : 6530;
1a92 : 7311;
1a93 : 6608;
1a94 : 6528;
1a95 : 4842;
1a96 : 927;
1a97 : -437;
1a98 : -7370;
1a99 : -11493;
1a9a : -9326;
1a9b : -9967;
1a9c : -4213;
1a9d : -204;
1a9e : -1209;
1a9f : 418;
1aa0 : -548;
1aa1 : -292;
1aa2 : 611;
1aa3 : 1840;
1aa4 : 5571;
1aa5 : 7044;
1aa6 : 1762;
1aa7 : -6424;
1aa8 : -11202;
1aa9 : -10694;
1aaa : -9014;
1aab : -5683;
1aac : -3579;
1aad : -1050;
1aae : 4453;
1aaf : 6081;
1ab0 : 5080;
1ab1 : 3353;
1ab2 : 2947;
1ab3 : -1088;
1ab4 : -5420;
1ab5 : -6091;
1ab6 : -8922;
1ab7 : -9290;
1ab8 : -5627;
1ab9 : -3291;
1aba : -612;
1abb : -1754;
1abc : -2580;
1abd : -2302;
1abe : -3233;
1abf : -749;
1ac0 : 4209;
1ac1 : 4531;
1ac2 : 2928;
1ac3 : -2569;
1ac4 : -8446;
1ac5 : -11188;
1ac6 : -11916;
1ac7 : -9363;
1ac8 : -7627;
1ac9 : -6032;
1aca : -991;
1acb : 4798;
1acc : 5969;
1acd : 8063;
1ace : 5842;
1acf : 189;
1ad0 : -1876;
1ad1 : -4224;
1ad2 : -6147;
1ad3 : -6437;
1ad4 : -7663;
1ad5 : -5508;
1ad6 : 238;
1ad7 : 3370;
1ad8 : 3825;
1ad9 : 4096;
1ada : 3487;
1adb : 3479;
1adc : 4205;
1add : 5288;
1ade : 4796;
1adf : 5747;
1ae0 : 3510;
1ae1 : 865;
1ae2 : 973;
1ae3 : 932;
1ae4 : 882;
1ae5 : 1662;
1ae6 : 3363;
1ae7 : 5292;
1ae8 : 10085;
1ae9 : 12304;
1aea : 9983;
1aeb : 9568;
1aec : 6408;
1aed : 5021;
1aee : 5237;
1aef : 218;
1af0 : -2710;
1af1 : -2630;
1af2 : -778;
1af3 : 3010;
1af4 : 5397;
1af5 : 6377;
1af6 : 8020;
1af7 : 6129;
1af8 : 2391;
1af9 : -1005;
1afa : 432;
1afb : 2612;
1afc : 3182;
1afd : 1974;
1afe : -1252;
1aff : -63;
1b00 : 17;
1b01 : 957;
1b02 : 2316;
1b03 : -602;
1b04 : -1798;
1b05 : -970;
1b06 : -88;
1b07 : 103;
1b08 : 2484;
1b09 : 4086;
1b0a : 3915;
1b0b : 206;
1b0c : -3212;
1b0d : -7673;
1b0e : -9121;
1b0f : -5906;
1b10 : -1969;
1b11 : 2992;
1b12 : 3985;
1b13 : 2888;
1b14 : -1437;
1b15 : -5762;
1b16 : -4781;
1b17 : -3475;
1b18 : -2012;
1b19 : -520;
1b1a : -996;
1b1b : -2974;
1b1c : -3339;
1b1d : -1952;
1b1e : -144;
1b1f : -1632;
1b20 : -5627;
1b21 : -7258;
1b22 : -5502;
1b23 : -148;
1b24 : 1710;
1b25 : 4680;
1b26 : 3463;
1b27 : 1903;
1b28 : -402;
1b29 : -5886;
1b2a : -8810;
1b2b : -10811;
1b2c : -9149;
1b2d : -5298;
1b2e : -3372;
1b2f : -4343;
1b30 : -4941;
1b31 : -5854;
1b32 : -5666;
1b33 : -4297;
1b34 : -2495;
1b35 : 1000;
1b36 : 915;
1b37 : 835;
1b38 : -1335;
1b39 : -3166;
1b3a : -4062;
1b3b : -5933;
1b3c : -6895;
1b3d : -10773;
1b3e : -7806;
1b3f : -1923;
1b40 : 3566;
1b41 : 4192;
1b42 : 5714;
1b43 : 5608;
1b44 : 3914;
1b45 : 1785;
1b46 : -732;
1b47 : -1835;
1b48 : -3130;
1b49 : -1933;
1b4a : -1016;
1b4b : 613;
1b4c : 2333;
1b4d : 2876;
1b4e : 2647;
1b4f : 1637;
1b50 : 5731;
1b51 : 8520;
1b52 : 10569;
1b53 : 11907;
1b54 : 8432;
1b55 : 3614;
1b56 : -868;
1b57 : -2345;
1b58 : -2840;
1b59 : -1377;
1b5a : 33;
1b5b : 5263;
1b5c : 9375;
1b5d : 11793;
1b5e : 10549;
1b5f : 8965;
1b60 : 7970;
1b61 : 4844;
1b62 : 4751;
1b63 : 1923;
1b64 : 156;
1b65 : -2665;
1b66 : -4622;
1b67 : -666;
1b68 : 2162;
1b69 : 3523;
1b6a : 3517;
1b6b : 3624;
1b6c : 3721;
1b6d : 5440;
1b6e : 8493;
1b6f : 10250;
1b70 : 9610;
1b71 : 3055;
1b72 : -3970;
1b73 : -9895;
1b74 : -9465;
1b75 : -6474;
1b76 : -2461;
1b77 : -1298;
1b78 : 1289;
1b79 : 5591;
1b7a : 5926;
1b7b : 5456;
1b7c : 3261;
1b7d : 1020;
1b7e : -1645;
1b7f : -2528;
1b80 : -5359;
1b81 : -8384;
1b82 : -9265;
1b83 : -6985;
1b84 : -3214;
1b85 : -1428;
1b86 : -485;
1b87 : -469;
1b88 : -982;
1b89 : -804;
1b8a : 300;
1b8b : 2627;
1b8c : 2524;
1b8d : 1705;
1b8e : -3145;
1b8f : -6731;
1b90 : -6895;
1b91 : -5050;
1b92 : -2423;
1b93 : -2081;
1b94 : -2201;
1b95 : -1257;
1b96 : 1182;
1b97 : 1274;
1b98 : 1665;
1b99 : -267;
1b9a : -1846;
1b9b : -4008;
1b9c : -6835;
1b9d : -8396;
1b9e : -9788;
1b9f : -10565;
1ba0 : -10422;
1ba1 : -6369;
1ba2 : -3086;
1ba3 : -762;
1ba4 : 888;
1ba5 : 80;
1ba6 : -2224;
1ba7 : -3707;
1ba8 : -3975;
1ba9 : -3974;
1baa : -3353;
1bab : -3649;
1bac : -2784;
1bad : -2330;
1bae : -2475;
1baf : -2008;
1bb0 : -2285;
1bb1 : -2071;
1bb2 : -2421;
1bb3 : 857;
1bb4 : 3465;
1bb5 : 5082;
1bb6 : 5731;
1bb7 : 3318;
1bb8 : 2467;
1bb9 : 1313;
1bba : -1308;
1bbb : -3630;
1bbc : -5320;
1bbd : -3192;
1bbe : 1849;
1bbf : 5188;
1bc0 : 7754;
1bc1 : 8554;
1bc2 : 5610;
1bc3 : 1890;
1bc4 : -458;
1bc5 : 712;
1bc6 : 4045;
1bc7 : 7986;
1bc8 : 9663;
1bc9 : 7898;
1bca : 6662;
1bcb : 4014;
1bcc : 3864;
1bcd : 1322;
1bce : -1749;
1bcf : -2097;
1bd0 : 1449;
1bd1 : 4037;
1bd2 : 3484;
1bd3 : 2914;
1bd4 : 1986;
1bd5 : 2379;
1bd6 : -90;
1bd7 : -2574;
1bd8 : -5141;
1bd9 : -6319;
1bda : -5192;
1bdb : 1821;
1bdc : 3576;
1bdd : 7890;
1bde : 1599;
1bdf : 950;
1be0 : 1045;
1be1 : 634;
1be2 : 5097;
1be3 : 8550;
1be4 : 10916;
1be5 : 9569;
1be6 : 7399;
1be7 : 5672;
1be8 : 4545;
1be9 : 5528;
1bea : 5057;
1beb : 2752;
1bec : 3243;
1bed : 4149;
1bee : 6765;
1bef : 6833;
1bf0 : 7583;
1bf1 : 6063;
1bf2 : 5076;
1bf3 : 3099;
1bf4 : 1283;
1bf5 : 970;
1bf6 : 405;
1bf7 : 532;
1bf8 : 2864;
1bf9 : 4421;
1bfa : 3993;
1bfb : 3075;
1bfc : -1089;
1bfd : -5273;
1bfe : -2689;
1bff : -1740;
1c00 : -700;
1c01 : -2096;
1c02 : -5908;
1c03 : -7416;
1c04 : -8581;
1c05 : -9171;
1c06 : -8958;
1c07 : -9693;
1c08 : -12598;
1c09 : -14037;
1c0a : -12359;
1c0b : -9098;
1c0c : -9783;
1c0d : -9762;
1c0e : -10101;
1c0f : -8311;
1c10 : -7508;
1c11 : -7327;
1c12 : -8764;
1c13 : -11110;
1c14 : -12888;
1c15 : -13466;
1c16 : -12230;
1c17 : -11600;
1c18 : -10039;
1c19 : -8686;
1c1a : -8678;
1c1b : -6942;
1c1c : -5688;
1c1d : -4007;
1c1e : -1874;
1c1f : -4075;
1c20 : -4865;
1c21 : -5786;
1c22 : -3864;
1c23 : -2890;
1c24 : -2371;
1c25 : -4217;
1c26 : -2599;
1c27 : -846;
1c28 : 1770;
1c29 : 2658;
1c2a : 3522;
1c2b : 5539;
1c2c : 5723;
1c2d : 7209;
1c2e : 5762;
1c2f : 3729;
1c30 : 412;
1c31 : 1885;
1c32 : 4233;
1c33 : 7256;
1c34 : 10411;
1c35 : 11546;
1c36 : 10695;
1c37 : 8449;
1c38 : 8384;
1c39 : 9558;
1c3a : 10570;
1c3b : 12666;
1c3c : 11444;
1c3d : 9646;
1c3e : 8609;
1c3f : 6844;
1c40 : 6351;
1c41 : 7041;
1c42 : 4503;
1c43 : 5541;
1c44 : 8370;
1c45 : 11054;
1c46 : 13650;
1c47 : 14426;
1c48 : 14324;
1c49 : 12749;
1c4a : 10994;
1c4b : 6528;
1c4c : 2902;
1c4d : 94;
1c4e : 1291;
1c4f : 5370;
1c50 : 8452;
1c51 : 8704;
1c52 : 7553;
1c53 : 4616;
1c54 : 1164;
1c55 : 770;
1c56 : 2265;
1c57 : 4102;
1c58 : 6393;
1c59 : 5176;
1c5a : 2633;
1c5b : 412;
1c5c : 123;
1c5d : 771;
1c5e : -14;
1c5f : -2197;
1c60 : -2840;
1c61 : -865;
1c62 : 2345;
1c63 : 4256;
1c64 : 3249;
1c65 : 1869;
1c66 : -2600;
1c67 : -4098;
1c68 : -6519;
1c69 : -7367;
1c6a : -7577;
1c6b : -6043;
1c6c : -3978;
1c6d : -3240;
1c6e : -3108;
1c6f : -3915;
1c70 : -7163;
1c71 : -10668;
1c72 : -10234;
1c73 : -9213;
1c74 : -6973;
1c75 : -7272;
1c76 : -8972;
1c77 : -9067;
1c78 : -9313;
1c79 : -9630;
1c7a : -8859;
1c7b : -8948;
1c7c : -8892;
1c7d : -8610;
1c7e : -5698;
1c7f : -4375;
1c80 : -3703;
1c81 : -5887;
1c82 : -6927;
1c83 : -6254;
1c84 : -6724;
1c85 : -6476;
1c86 : -6258;
1c87 : -6691;
1c88 : -6793;
1c89 : -4196;
1c8a : -2559;
1c8b : -327;
1c8c : 181;
1c8d : -1076;
1c8e : -3880;
1c8f : -3902;
1c90 : -3013;
1c91 : -2835;
1c92 : -774;
1c93 : -664;
1c94 : -547;
1c95 : -150;
1c96 : -115;
1c97 : 2709;
1c98 : 3805;
1c99 : 1207;
1c9a : -1104;
1c9b : -782;
1c9c : -637;
1c9d : 69;
1c9e : 602;
1c9f : 1297;
1ca0 : 3363;
1ca1 : 4044;
1ca2 : 2163;
1ca3 : -1431;
1ca4 : -2997;
1ca5 : -4032;
1ca6 : -1686;
1ca7 : -140;
1ca8 : 1425;
1ca9 : 2316;
1caa : 1584;
1cab : 159;
1cac : 425;
1cad : 1729;
1cae : 3898;
1caf : 5753;
1cb0 : 5478;
1cb1 : 5641;
1cb2 : 5979;
1cb3 : 7116;
1cb4 : 9208;
1cb5 : 9017;
1cb6 : 5173;
1cb7 : 872;
1cb8 : -69;
1cb9 : 1427;
1cba : 2773;
1cbb : 4818;
1cbc : 6021;
1cbd : 8272;
1cbe : 7116;
1cbf : 5145;
1cc0 : 3347;
1cc1 : 1089;
1cc2 : 273;
1cc3 : 3279;
1cc4 : 5362;
1cc5 : 6949;
1cc6 : 7695;
1cc7 : 4448;
1cc8 : 3245;
1cc9 : 2832;
1cca : 4101;
1ccb : 3938;
1ccc : 4361;
1ccd : 4308;
1cce : 3518;
1ccf : 3010;
1cd0 : 2789;
1cd1 : 3139;
1cd2 : 1137;
1cd3 : -2461;
1cd4 : -4023;
1cd5 : -3016;
1cd6 : -603;
1cd7 : 1505;
1cd8 : 2749;
1cd9 : 2095;
1cda : 1712;
1cdb : -771;
1cdc : -2537;
1cdd : -5619;
1cde : -8412;
1cdf : -10427;
1ce0 : -9484;
1ce1 : -6860;
1ce2 : -7694;
1ce3 : -7255;
1ce4 : -8775;
1ce5 : -10308;
1ce6 : -10718;
1ce7 : -10205;
1ce8 : -6987;
1ce9 : -4148;
1cea : -4827;
1ceb : -5622;
1cec : -7280;
1ced : -8034;
1cee : -7962;
1cef : -8157;
1cf0 : -8830;
1cf1 : -8472;
1cf2 : -6386;
1cf3 : -2641;
1cf4 : -2917;
1cf5 : -2531;
1cf6 : -2505;
1cf7 : -1906;
1cf8 : -1962;
1cf9 : -2652;
1cfa : -2811;
1cfb : -5401;
1cfc : -3345;
1cfd : -1707;
1cfe : -698;
1cff : 605;
1d00 : 2920;
1d01 : 3238;
1d02 : 3291;
1d03 : 2647;
1d04 : 2676;
1d05 : 3578;
1d06 : 2531;
1d07 : -728;
1d08 : -3493;
1d09 : -2746;
1d0a : 440;
1d0b : 6980;
1d0c : 11114;
1d0d : 10106;
1d0e : 8401;
1d0f : 6098;
1d10 : 6860;
1d11 : 8372;
1d12 : 8679;
1d13 : 9094;
1d14 : 9159;
1d15 : 7715;
1d16 : 4095;
1d17 : 1965;
1d18 : -673;
1d19 : -374;
1d1a : 1841;
1d1b : 4184;
1d1c : 7131;
1d1d : 10853;
1d1e : 10758;
1d1f : 8220;
1d20 : 7722;
1d21 : 5369;
1d22 : 3563;
1d23 : 1112;
1d24 : -2140;
1d25 : -2694;
1d26 : -1034;
1d27 : 3197;
1d28 : 7087;
1d29 : 8046;
1d2a : 4593;
1d2b : 1251;
1d2c : 1320;
1d2d : 3283;
1d2e : 5589;
1d2f : 5962;
1d30 : 3720;
1d31 : 1083;
1d32 : -1025;
1d33 : -4279;
1d34 : -5720;
1d35 : -7100;
1d36 : -5679;
1d37 : -1621;
1d38 : 2613;
1d39 : 6739;
1d3a : 7555;
1d3b : 5505;
1d3c : -267;
1d3d : -5103;
1d3e : -8278;
1d3f : -8079;
1d40 : -6871;
1d41 : -4414;
1d42 : -380;
1d43 : 2265;
1d44 : 2575;
1d45 : 1678;
1d46 : -521;
1d47 : -3590;
1d48 : -4149;
1d49 : -2233;
1d4a : 358;
1d4b : 1498;
1d4c : -425;
1d4d : -1908;
1d4e : -2950;
1d4f : -6137;
1d50 : -8727;
1d51 : -9411;
1d52 : -8309;
1d53 : -5158;
1d54 : -682;
1d55 : 1217;
1d56 : 3959;
1d57 : 2031;
1d58 : -3492;
1d59 : -9760;
1d5a : -12011;
1d5b : -12370;
1d5c : -9683;
1d5d : -3299;
1d5e : -557;
1d5f : 961;
1d60 : -661;
1d61 : -2871;
1d62 : -2758;
1d63 : -1296;
1d64 : -2045;
1d65 : -1961;
1d66 : -1355;
1d67 : 354;
1d68 : 1083;
1d69 : -10;
1d6a : -792;
1d6b : -2096;
1d6c : -2392;
1d6d : -2061;
1d6e : -90;
1d6f : 2273;
1d70 : 2533;
1d71 : 6017;
1d72 : 5390;
1d73 : 2865;
1d74 : -629;
1d75 : -4747;
1d76 : -6826;
1d77 : -6802;
1d78 : -2323;
1d79 : 3366;
1d7a : 7426;
1d7b : 8092;
1d7c : 6325;
1d7d : 2501;
1d7e : 3108;
1d7f : 4834;
1d80 : 6311;
1d81 : 3922;
1d82 : 743;
1d83 : 638;
1d84 : 96;
1d85 : -156;
1d86 : -1198;
1d87 : -2086;
1d88 : 604;
1d89 : 2924;
1d8a : 7602;
1d8b : 7785;
1d8c : 6927;
1d8d : 6210;
1d8e : 4824;
1d8f : 2766;
1d90 : 1172;
1d91 : -86;
1d92 : -1462;
1d93 : 640;
1d94 : 2868;
1d95 : 4794;
1d96 : 4629;
1d97 : 4327;
1d98 : 351;
1d99 : -201;
1d9a : 510;
1d9b : 2576;
1d9c : 5676;
1d9d : 5087;
1d9e : 3510;
1d9f : 670;
1da0 : -1799;
1da1 : -3006;
1da2 : -3322;
1da3 : -1481;
1da4 : 1549;
1da5 : 6004;
1da6 : 7239;
1da7 : 6445;
1da8 : 4286;
1da9 : -582;
1daa : -4981;
1dab : -6900;
1dac : -7704;
1dad : -7664;
1dae : -2620;
1daf : 628;
1db0 : 2053;
1db1 : 1036;
1db2 : -463;
1db3 : -1923;
1db4 : -2624;
1db5 : -2349;
1db6 : -1919;
1db7 : -1294;
1db8 : -279;
1db9 : 947;
1dba : -183;
1dbb : -3159;
1dbc : -7890;
1dbd : -10440;
1dbe : -10163;
1dbf : -7693;
1dc0 : -3944;
1dc1 : -2273;
1dc2 : -462;
1dc3 : 212;
1dc4 : -1056;
1dc5 : -3959;
1dc6 : -8538;
1dc7 : -10034;
1dc8 : -10515;
1dc9 : -6833;
1dca : -1786;
1dcb : 2020;
1dcc : 1832;
1dcd : -384;
1dce : -4193;
1dcf : -4956;
1dd0 : -2545;
1dd1 : 281;
1dd2 : 1161;
1dd3 : 877;
1dd4 : 1144;
1dd5 : 273;
1dd6 : 986;
1dd7 : 105;
1dd8 : -2185;
1dd9 : -3628;
1dda : -2595;
1ddb : 2038;
1ddc : 5554;
1ddd : 4804;
1dde : 5159;
1ddf : 4280;
1de0 : 3560;
1de1 : 1786;
1de2 : -403;
1de3 : -2735;
1de4 : -1467;
1de5 : 3103;
1de6 : 6257;
1de7 : 6482;
1de8 : 6123;
1de9 : 2764;
1dea : -818;
1deb : -736;
1dec : 157;
1ded : 3695;
1dee : 4830;
1def : 6151;
1df0 : 5616;
1df1 : 3930;
1df2 : 1997;
1df3 : 1285;
1df4 : 1180;
1df5 : 1339;
1df6 : 2080;
1df7 : 4452;
1df8 : 5401;
1df9 : 5681;
1dfa : 4431;
1dfb : 2179;
1dfc : 912;
1dfd : -597;
1dfe : -1721;
1dff : -1140;
1e00 : 307;
1e01 : 2508;
1e02 : 2684;
1e03 : 2242;
1e04 : 1999;
1e05 : 331;
1e06 : -491;
1e07 : -2725;
1e08 : -2870;
1e09 : -1892;
1e0a : 1102;
1e0b : 2790;
1e0c : 3741;
1e0d : 2565;
1e0e : -97;
1e0f : -2348;
1e10 : -2141;
1e11 : -506;
1e12 : 566;
1e13 : -99;
1e14 : 114;
1e15 : 1242;
1e16 : 356;
1e17 : -1676;
1e18 : -3250;
1e19 : -4831;
1e1a : -5419;
1e1b : -2046;
1e1c : 1122;
1e1d : 1307;
1e1e : 1202;
1e1f : 100;
1e20 : -2219;
1e21 : -1304;
1e22 : -550;
1e23 : -888;
1e24 : -2977;
1e25 : -2995;
1e26 : -2798;
1e27 : -484;
1e28 : 374;
1e29 : -1388;
1e2a : -3981;
1e2b : -6736;
1e2c : -5318;
1e2d : -2429;
1e2e : -1895;
1e2f : -2297;
1e30 : -1670;
1e31 : -2532;
1e32 : -1624;
1e33 : -1853;
1e34 : -2468;
1e35 : -3528;
1e36 : -1870;
1e37 : -39;
1e38 : -378;
1e39 : -1144;
1e3a : -3265;
1e3b : -5375;
1e3c : -4470;
1e3d : -2947;
1e3e : -447;
1e3f : 343;
1e40 : -273;
1e41 : -482;
1e42 : 151;
1e43 : 292;
1e44 : 607;
1e45 : 459;
1e46 : 264;
1e47 : 653;
1e48 : 1563;
1e49 : 2235;
1e4a : 2000;
1e4b : 3055;
1e4c : 664;
1e4d : -454;
1e4e : -2051;
1e4f : -1986;
1e50 : -814;
1e51 : 1143;
1e52 : 4266;
1e53 : 4715;
1e54 : 3543;
1e55 : 2983;
1e56 : 602;
1e57 : -823;
1e58 : -716;
1e59 : -461;
1e5a : 812;
1e5b : 1863;
1e5c : 3017;
1e5d : 4351;
1e5e : 4434;
1e5f : 3360;
1e60 : 792;
1e61 : -506;
1e62 : 446;
1e63 : 905;
1e64 : 2816;
1e65 : 2156;
1e66 : 3887;
1e67 : 3537;
1e68 : 2721;
1e69 : 1718;
1e6a : 185;
1e6b : -1212;
1e6c : 224;
1e6d : 4320;
1e6e : 6272;
1e6f : 6118;
1e70 : 4360;
1e71 : 1989;
1e72 : -229;
1e73 : 307;
1e74 : -521;
1e75 : -1319;
1e76 : -1308;
1e77 : -1090;
1e78 : 1577;
1e79 : 4012;
1e7a : 3757;
1e7b : 2245;
1e7c : -1307;
1e7d : -1530;
1e7e : -53;
1e7f : 1853;
1e80 : 847;
1e81 : 634;
1e82 : -512;
1e83 : -1452;
1e84 : -1812;
1e85 : -2057;
1e86 : -2161;
1e87 : -1861;
1e88 : 1000;
1e89 : 2366;
1e8a : 3419;
1e8b : 1711;
1e8c : -254;
1e8d : -1810;
1e8e : -2304;
1e8f : -1922;
1e90 : -2882;
1e91 : -3708;
1e92 : -3939;
1e93 : -3094;
1e94 : -1858;
1e95 : -1254;
1e96 : -1152;
1e97 : -2780;
1e98 : -3077;
1e99 : -2923;
1e9a : -1725;
1e9b : -1887;
1e9c : -1589;
1e9d : -3045;
1e9e : -4791;
1e9f : -6225;
1ea0 : -7828;
1ea1 : -6436;
1ea2 : -5486;
1ea3 : -2166;
1ea4 : -548;
1ea5 : 12;
1ea6 : -30;
1ea7 : -387;
1ea8 : -3067;
1ea9 : -3889;
1eaa : -4054;
1eab : -3643;
1eac : -2253;
1ead : -2034;
1eae : -1294;
1eaf : 725;
1eb0 : 2159;
1eb1 : 1199;
1eb2 : -83;
1eb3 : 138;
1eb4 : 128;
1eb5 : 2170;
1eb6 : 2654;
1eb7 : 2057;
1eb8 : 2561;
1eb9 : 1008;
1eba : 386;
1ebb : -2170;
1ebc : -3465;
1ebd : -4425;
1ebe : -1033;
1ebf : 2357;
1ec0 : 4898;
1ec1 : 5576;
1ec2 : 5820;
1ec3 : 4268;
1ec4 : 4340;
1ec5 : 3513;
1ec6 : 1019;
1ec7 : 1098;
1ec8 : -984;
1ec9 : 285;
1eca : 2339;
1ecb : 3658;
1ecc : 3607;
1ecd : 1930;
1ece : 1364;
1ecf : 2379;
1ed0 : 3926;
1ed1 : 3707;
1ed2 : 2630;
1ed3 : 2691;
1ed4 : 2181;
1ed5 : 3003;
1ed6 : 2354;
1ed7 : 1890;
1ed8 : 281;
1ed9 : 1250;
1eda : 2246;
1edb : 3425;
1edc : 2902;
1edd : 2858;
1ede : 1969;
1edf : 373;
1ee0 : 1094;
1ee1 : 351;
1ee2 : 530;
1ee3 : -187;
1ee4 : -360;
1ee5 : -883;
1ee6 : -329;
1ee7 : -391;
1ee8 : -1095;
1ee9 : -744;
1eea : 1017;
1eeb : 3197;
1eec : 4651;
1eed : 3911;
1eee : 2080;
1eef : -1002;
1ef0 : -3127;
1ef1 : -5543;
1ef2 : -4543;
1ef3 : -4183;
1ef4 : -2117;
1ef5 : -213;
1ef6 : 834;
1ef7 : 1200;
1ef8 : 917;
1ef9 : -464;
1efa : -2362;
1efb : -2008;
1efc : -3113;
1efd : -1580;
1efe : -1120;
1eff : -116;
1f00 : 503;
1f01 : 891;
1f02 : -1263;
1f03 : -4319;
1f04 : -6433;
1f05 : -7116;
1f06 : -4846;
1f07 : -2076;
1f08 : -1532;
1f09 : -595;
1f0a : -1497;
1f0b : -3040;
1f0c : -4597;
1f0d : -6369;
1f0e : -7674;
1f0f : -6242;
1f10 : -3302;
1f11 : -1108;
1f12 : -246;
1f13 : -401;
1f14 : -335;
1f15 : -1717;
1f16 : -1283;
1f17 : -2704;
1f18 : -2375;
1f19 : -2815;
1f1a : -1964;
1f1b : 674;
1f1c : 2748;
1f1d : 3601;
1f1e : 1272;
1f1f : -921;
1f20 : -1359;
1f21 : -726;
1f22 : 578;
1f23 : 1033;
1f24 : 1902;
1f25 : 2948;
1f26 : 2761;
1f27 : 2086;
1f28 : 1145;
1f29 : 55;
1f2a : -171;
1f2b : 837;
1f2c : 2778;
1f2d : 2898;
1f2e : 2496;
1f2f : 3405;
1f30 : 2003;
1f31 : 2625;
1f32 : 1930;
1f33 : 1938;
1f34 : 2109;
1f35 : 1894;
1f36 : 1786;
1f37 : 2862;
1f38 : 3712;
1f39 : 2785;
1f3a : 1527;
1f3b : 728;
1f3c : 1234;
1f3d : 3346;
1f3e : 4339;
1f3f : 3310;
1f40 : 3534;
1f41 : 2271;
1f42 : 996;
1f43 : 1102;
1f44 : 887;
1f45 : 1095;
1f46 : 2296;
1f47 : 2585;
1f48 : 2757;
1f49 : 1955;
1f4a : 1111;
1f4b : -1377;
1f4c : -2398;
1f4d : -2608;
1f4e : -1524;
1f4f : 235;
1f50 : 1546;
1f51 : 2786;
1f52 : 3797;
1f53 : 3683;
1f54 : 1551;
1f55 : -333;
1f56 : -2869;
1f57 : -1878;
1f58 : -363;
1f59 : 1165;
1f5a : 890;
1f5b : 707;
1f5c : -512;
1f5d : -1719;
1f5e : -3471;
1f5f : -4941;
1f60 : -4099;
1f61 : -2050;
1f62 : 789;
1f63 : 2416;
1f64 : 1996;
1f65 : 1532;
1f66 : 383;
1f67 : -1087;
1f68 : -2378;
1f69 : -4059;
1f6a : -4978;
1f6b : -4060;
1f6c : -2182;
1f6d : 42;
1f6e : 2281;
1f6f : 992;
1f70 : -1571;
1f71 : -3955;
1f72 : -5043;
1f73 : -5407;
1f74 : -4411;
1f75 : -4868;
1f76 : -3895;
1f77 : -3008;
1f78 : -2650;
1f79 : -2352;
1f7a : -2671;
1f7b : -2989;
1f7c : -2949;
1f7d : -1453;
1f7e : -1360;
1f7f : -1928;
1f80 : -1106;
1f81 : -2019;
1f82 : -2697;
1f83 : -2996;
1f84 : -3662;
1f85 : -2712;
1f86 : -2285;
1f87 : -1993;
1f88 : -752;
1f89 : 1198;
1f8a : 1626;
1f8b : 2070;
1f8c : 1401;
1f8d : 1715;
1f8e : 2716;
1f8f : 4243;
1f90 : 2865;
1f91 : 3028;
1f92 : 1760;
1f93 : -333;
1f94 : -328;
1f95 : -781;
1f96 : 14;
1f97 : 112;
1f98 : 1714;
1f99 : 3319;
1f9a : 3786;
1f9b : 3900;
1f9c : 3586;
1f9d : 1808;
1f9e : 604;
1f9f : 27;
1fa0 : 850;
1fa1 : 1792;
1fa2 : 3391;
1fa3 : 4862;
1fa4 : 5465;
1fa5 : 4692;
1fa6 : 2616;
1fa7 : -240;
1fa8 : -206;
1fa9 : 811;
1faa : 2967;
1fab : 3884;
1fac : 3380;
1fad : 3841;
1fae : 3321;
1faf : 1956;
1fb0 : -406;
1fb1 : -985;
1fb2 : -1584;
1fb3 : 601;
1fb4 : 2990;
1fb5 : 4231;
1fb6 : 4407;
1fb7 : 3691;
1fb8 : 2189;
1fb9 : 234;
1fba : -1647;
1fbb : -3398;
1fbc : -2705;
1fbd : -1406;
1fbe : 469;
1fbf : 2937;
1fc0 : 2734;
1fc1 : 1425;
1fc2 : 45;
1fc3 : -1073;
1fc4 : -1735;
1fc5 : -95;
1fc6 : 23;
1fc7 : -277;
1fc8 : 802;
1fc9 : 296;
1fca : 31;
1fcb : -981;
1fcc : -1771;
1fcd : -3265;
1fce : -2463;
1fcf : -1919;
1fd0 : -1526;
1fd1 : -506;
1fd2 : -8;
1fd3 : -92;
1fd4 : -777;
1fd5 : -1820;
1fd6 : -2339;
1fd7 : -2426;
1fd8 : -3788;
1fd9 : -3454;
1fda : -2630;
1fdb : -2553;
1fdc : -2426;
1fdd : -2970;
1fde : -3042;
1fdf : -2714;
1fe0 : -1825;
1fe1 : -1631;
1fe2 : -3091;
1fe3 : -2928;
1fe4 : -4263;
1fe5 : -3622;
1fe6 : -3730;
1fe7 : -2799;
1fe8 : -2767;
1fe9 : -2666;
1fea : -986;
1feb : -931;
1fec : -1590;
1fed : -1176;
1fee : -1639;
1fef : -2493;
1ff0 : -2650;
1ff1 : -2156;
1ff2 : -995;
1ff3 : 426;
1ff4 : 2010;
1ff5 : 2838;
1ff6 : 3485;
1ff7 : -868;
1ff8 : -2994;
1ff9 : -3143;
1ffa : -2898;
1ffb : -642;
1ffc : 1009;
1ffd : 751;
1ffe : 3106;
1fff : 794;
2000 : -176;
2001 : -5255;
2002 : -3776;
2003 : -2898;
2004 : -3051;
2005 : 1144;
2006 : 2574;
2007 : 3456;
2008 : 5031;
2009 : 1182;
200a : 1528;
200b : 7;
200c : 801;
200d : 4727;
200e : 5047;
200f : 8652;
2010 : 12748;
2011 : 13262;
2012 : 9440;
2013 : 6585;
2014 : 5143;
2015 : 3393;
2016 : 2686;
2017 : 4914;
2018 : 2818;
2019 : 3104;
201a : 7511;
201b : 6527;
201c : 7055;
201d : 4633;
201e : 2734;
201f : -5278;
2020 : -7874;
2021 : -8282;
2022 : -1360;
2023 : 6566;
2024 : 9588;
2025 : 6824;
2026 : -875;
2027 : -5892;
2028 : -4760;
2029 : -5286;
202a : -3058;
202b : 1060;
202c : 771;
202d : -1865;
202e : -6587;
202f : -7918;
2030 : -6679;
2031 : -8691;
2032 : -7635;
2033 : -5192;
2034 : -3142;
2035 : 865;
2036 : 2186;
2037 : 6808;
2038 : 9826;
2039 : 8990;
203a : -1119;
203b : -7973;
203c : -10660;
203d : -9240;
203e : -2120;
203f : 6100;
2040 : 6363;
2041 : 4198;
2042 : -2395;
2043 : -4082;
2044 : -2994;
2045 : 3269;
2046 : 9880;
2047 : 10608;
2048 : 9720;
2049 : 3611;
204a : -3474;
204b : -4388;
204c : -10663;
204d : -13430;
204e : -15586;
204f : -12495;
2050 : -3574;
2051 : -68;
2052 : 5458;
2053 : 6902;
2054 : 5635;
2055 : -4495;
2056 : -10010;
2057 : -15016;
2058 : -13609;
2059 : -9055;
205a : -2261;
205b : 304;
205c : -2812;
205d : -9692;
205e : -9451;
205f : -8376;
2060 : -3249;
2061 : 4079;
2062 : 8322;
2063 : 11389;
2064 : 11002;
2065 : 6477;
2066 : 383;
2067 : -3139;
2068 : -7918;
2069 : -8955;
206a : -8021;
206b : -701;
206c : 5989;
206d : 14936;
206e : 16279;
206f : 16956;
2070 : 9210;
2071 : 1588;
2072 : -3892;
2073 : -7935;
2074 : -6472;
2075 : 1780;
2076 : 5848;
2077 : 5828;
2078 : 2119;
2079 : -903;
207a : -2107;
207b : -2571;
207c : 2751;
207d : 5116;
207e : 8587;
207f : 10959;
2080 : 10103;
2081 : 6195;
2082 : -8;
2083 : -5308;
2084 : -6777;
2085 : -8834;
2086 : -2873;
2087 : 1284;
2088 : 6765;
2089 : 11733;
208a : 13401;
208b : 11547;
208c : 4731;
208d : 209;
208e : -4176;
208f : -8197;
2090 : -3728;
2091 : 1114;
2092 : 1263;
2093 : -918;
2094 : -3868;
2095 : -2504;
2096 : -1904;
2097 : 1862;
2098 : 3968;
2099 : 5181;
209a : 6384;
209b : 7061;
209c : 2391;
209d : 431;
209e : -4566;
209f : -6304;
20a0 : -8821;
20a1 : -4806;
20a2 : 381;
20a3 : 1928;
20a4 : 4875;
20a5 : 4234;
20a6 : 5073;
20a7 : 662;
20a8 : -1507;
20a9 : -5358;
20aa : -5329;
20ab : -3678;
20ac : 825;
20ad : -18;
20ae : -2838;
20af : -7438;
20b0 : -8841;
20b1 : -7937;
20b2 : -4449;
20b3 : -763;
20b4 : 3633;
20b5 : 4050;
20b6 : 5512;
20b7 : 336;
20b8 : -2563;
20b9 : -7806;
20ba : -9977;
20bb : -11120;
20bc : -10423;
20bd : -5053;
20be : -1287;
20bf : 3468;
20c0 : 4014;
20c1 : 6146;
20c2 : 1414;
20c3 : -4151;
20c4 : -8969;
20c5 : -10564;
20c6 : -7953;
20c7 : -1317;
20c8 : 826;
20c9 : 1078;
20ca : -2767;
20cb : -3936;
20cc : -4614;
20cd : -3756;
20ce : -2342;
20cf : 1024;
20d0 : 3736;
20d1 : 6806;
20d2 : 5390;
20d3 : 4138;
20d4 : 862;
20d5 : -1124;
20d6 : -2113;
20d7 : -4432;
20d8 : -497;
20d9 : 1926;
20da : 5934;
20db : 6416;
20dc : 8405;
20dd : 6377;
20de : 3964;
20df : -1239;
20e0 : -4266;
20e1 : -4363;
20e2 : 1412;
20e3 : 4753;
20e4 : 4318;
20e5 : 1950;
20e6 : 1425;
20e7 : -83;
20e8 : -654;
20e9 : 253;
20ea : 2236;
20eb : 3532;
20ec : 6229;
20ed : 5664;
20ee : 5276;
20ef : 3757;
20f0 : -833;
20f1 : 586;
20f2 : -2264;
20f3 : 1577;
20f4 : 2065;
20f5 : 3759;
20f6 : 3700;
20f7 : 5222;
20f8 : 6399;
20f9 : 3290;
20fa : 2057;
20fb : -490;
20fc : -1510;
20fd : 2879;
20fe : 4646;
20ff : 3841;
2100 : 2226;
2101 : -2491;
2102 : -2572;
2103 : -3660;
2104 : -2713;
2105 : -53;
2106 : 2861;
2107 : 4667;
2108 : 5035;
2109 : 2403;
210a : 1596;
210b : -1039;
210c : -1071;
210d : -3043;
210e : -1925;
210f : -938;
2110 : -872;
2111 : -228;
2112 : -767;
2113 : 521;
2114 : -1621;
2115 : -4429;
2116 : -4277;
2117 : -5457;
2118 : -3458;
2119 : 833;
211a : 896;
211b : 2873;
211c : -976;
211d : -2959;
211e : -5661;
211f : -6680;
2120 : -5842;
2121 : -4068;
2122 : -1111;
2123 : 1445;
2124 : 467;
2125 : 514;
2126 : -2394;
2127 : -4941;
2128 : -6741;
2129 : -7335;
212a : -4764;
212b : -3220;
212c : -1636;
212d : -2819;
212e : 721;
212f : 169;
2130 : -959;
2131 : -2725;
2132 : -5570;
2133 : -6091;
2134 : -2771;
2135 : -1359;
2136 : 0;
2137 : -1210;
2138 : -288;
2139 : -1606;
213a : -2836;
213b : -2933;
213c : -4094;
213d : -2728;
213e : 1511;
213f : 3877;
2140 : 5709;
2141 : 4852;
2142 : 1566;
2143 : 1171;
2144 : -288;
2145 : 933;
2146 : 574;
2147 : 1396;
2148 : -790;
2149 : 443;
214a : 1427;
214b : 2132;
214c : 1719;
214d : 696;
214e : -393;
214f : 3701;
2150 : 4099;
2151 : 5231;
2152 : 2125;
2153 : 2004;
2154 : 2474;
2155 : 1096;
2156 : 277;
2157 : 979;
2158 : 1765;
2159 : 3300;
215a : 6501;
215b : 7329;
215c : 7114;
215d : 3676;
215e : 1420;
215f : -2227;
2160 : -340;
2161 : 1484;
2162 : 5131;
2163 : 5166;
2164 : 5025;
2165 : 4251;
2166 : 3432;
2167 : 2624;
2168 : 1842;
2169 : -640;
216a : 2029;
216b : 3586;
216c : 3660;
216d : 1782;
216e : -698;
216f : -1092;
2170 : -2575;
2171 : -3147;
2172 : -4153;
2173 : -4180;
2174 : -1064;
2175 : 3630;
2176 : 5803;
2177 : 7866;
2178 : 3832;
2179 : 284;
217a : -4926;
217b : -5692;
217c : -4009;
217d : -1020;
217e : 871;
217f : 1006;
2180 : 2911;
2181 : 1156;
2182 : -824;
2183 : -2617;
2184 : -6036;
2185 : -4975;
2186 : -2546;
2187 : -965;
2188 : -1316;
2189 : -2306;
218a : -1899;
218b : -1903;
218c : -3160;
218d : -5436;
218e : -5933;
218f : -5434;
2190 : -1294;
2191 : 1827;
2192 : 3207;
2193 : 141;
2194 : -2732;
2195 : -5541;
2196 : -8043;
2197 : -6273;
2198 : -4574;
2199 : -1473;
219a : -988;
219b : 862;
219c : -132;
219d : -2689;
219e : -5110;
219f : -7183;
21a0 : -5904;
21a1 : -2389;
21a2 : -378;
21a3 : 559;
21a4 : -1827;
21a5 : -2362;
21a6 : -4047;
21a7 : -2908;
21a8 : -3013;
21a9 : -934;
21aa : 161;
21ab : 2316;
21ac : 2503;
21ad : 2206;
21ae : 1578;
21af : -1760;
21b0 : -3057;
21b1 : -2901;
21b2 : 1266;
21b3 : 4133;
21b4 : 8723;
21b5 : 6628;
21b6 : 6570;
21b7 : 4818;
21b8 : 1644;
21b9 : -261;
21ba : -2475;
21bb : -4292;
21bc : -684;
21bd : 3350;
21be : 5430;
21bf : 4538;
21c0 : 2810;
21c1 : 763;
21c2 : 707;
21c3 : -167;
21c4 : 1822;
21c5 : 3933;
21c6 : 5134;
21c7 : 6215;
21c8 : 5113;
21c9 : 3291;
21ca : -1686;
21cb : -3413;
21cc : -4862;
21cd : -1794;
21ce : 1458;
21cf : 7901;
21d0 : 10528;
21d1 : 11506;
21d2 : 10764;
21d3 : 6085;
21d4 : 1535;
21d5 : -2035;
21d6 : -6334;
21d7 : -4477;
21d8 : -1878;
21d9 : 2478;
21da : 3403;
21db : 1868;
21dc : 798;
21dd : -193;
21de : -329;
21df : -706;
21e0 : 853;
21e1 : 2267;
21e2 : 5009;
21e3 : 4408;
21e4 : 3091;
21e5 : -1115;
21e6 : -4673;
21e7 : -7435;
21e8 : -6652;
21e9 : -4123;
21ea : 919;
21eb : 4632;
21ec : 5376;
21ed : 6437;
21ee : 4327;
21ef : -48;
21f0 : -3636;
21f1 : -8583;
21f2 : -9319;
21f3 : -6187;
21f4 : -1786;
21f5 : 1056;
21f6 : -503;
21f7 : -1976;
21f8 : -3516;
21f9 : -2651;
21fa : -2056;
21fb : -233;
21fc : -181;
21fd : 1453;
21fe : 390;
21ff : -1846;
2200 : -6461;
2201 : -10335;
2202 : -12027;
2203 : -10849;
2204 : -6738;
2205 : -1572;
2206 : 3844;
2207 : 3578;
2208 : 4201;
2209 : 3759;
220a : 273;
220b : -3198;
220c : -7958;
220d : -9599;
220e : -5882;
220f : -2330;
2210 : 1689;
2211 : 435;
2212 : -1878;
2213 : -4628;
2214 : -5479;
2215 : -3758;
2216 : -1159;
2217 : 1207;
2218 : 4058;
2219 : 6012;
221a : 5303;
221b : 2112;
221c : -2869;
221d : -5062;
221e : -5848;
221f : -2499;
2220 : 1051;
2221 : 6556;
2222 : 8442;
2223 : 8390;
2224 : 7815;
2225 : 3921;
2226 : -86;
2227 : -3727;
2228 : -6749;
2229 : -3150;
222a : 941;
222b : 6598;
222c : 7654;
222d : 5771;
222e : 2499;
222f : -251;
2230 : -840;
2231 : 287;
2232 : 2727;
2233 : 4487;
2234 : 7042;
2235 : 6804;
2236 : 5839;
2237 : 2180;
2238 : -958;
2239 : -2926;
223a : -2001;
223b : -543;
223c : 3547;
223d : 6051;
223e : 5884;
223f : 7798;
2240 : 6811;
2241 : 3706;
2242 : 624;
2243 : -4561;
2244 : -4309;
2245 : -1914;
2246 : 2738;
2247 : 5019;
2248 : 2947;
2249 : 1029;
224a : -907;
224b : -882;
224c : -518;
224d : 561;
224e : 272;
224f : 2354;
2250 : 2159;
2251 : 2383;
2252 : 181;
2253 : -1590;
2254 : -2988;
2255 : -2601;
2256 : -431;
2257 : 903;
2258 : 2298;
2259 : 407;
225a : 612;
225b : 1336;
225c : -1160;
225d : -2433;
225e : -6151;
225f : -6006;
2260 : -2877;
2261 : 373;
2262 : 2669;
2263 : 171;
2264 : -2565;
2265 : -5950;
2266 : -5717;
2267 : -4438;
2268 : -1456;
2269 : -1868;
226a : -51;
226b : 90;
226c : -690;
226d : -2479;
226e : -5781;
226f : -7209;
2270 : -7690;
2271 : -5832;
2272 : -3748;
2273 : 221;
2274 : 620;
2275 : 430;
2276 : 2007;
2277 : -666;
2278 : -2875;
2279 : -6620;
227a : -8503;
227b : -5790;
227c : -2921;
227d : 1986;
227e : 2230;
227f : 704;
2280 : -3285;
2281 : -5338;
2282 : -5288;
2283 : -2107;
2284 : -1043;
2285 : 473;
2286 : 3177;
2287 : 4548;
2288 : 5600;
2289 : 2871;
228a : 965;
228b : -1864;
228c : -1937;
228d : -1771;
228e : 51;
228f : 2327;
2290 : 3053;
2291 : 6259;
2292 : 5963;
2293 : 4320;
2294 : 985;
2295 : -3471;
2296 : -3256;
2297 : -1629;
2298 : 2689;
2299 : 4875;
229a : 4949;
229b : 2827;
229c : 1267;
229d : 405;
229e : 879;
229f : 1038;
22a0 : 503;
22a1 : 2994;
22a2 : 4038;
22a3 : 5965;
22a4 : 4719;
22a5 : 3319;
22a6 : 748;
22a7 : -292;
22a8 : -346;
22a9 : -64;
22aa : 1173;
22ab : 1961;
22ac : 5473;
22ad : 7593;
22ae : 7040;
22af : 5700;
22b0 : 1349;
22b1 : -803;
22b2 : -1041;
22b3 : 590;
22b4 : 2337;
22b5 : 1403;
22b6 : -1043;
22b7 : -3079;
22b8 : -2917;
22b9 : -1413;
22ba : 545;
22bb : 276;
22bc : 1954;
22bd : 2624;
22be : 3674;
22bf : 3331;
22c0 : 2305;
22c1 : 502;
22c2 : -2028;
22c3 : -2434;
22c4 : -2344;
22c5 : -572;
22c6 : -401;
22c7 : 236;
22c8 : 2290;
22c9 : 1826;
22ca : 979;
22cb : -1630;
22cc : -4084;
22cd : -3894;
22ce : -2826;
22cf : -92;
22d0 : 517;
22d1 : -1193;
22d2 : -4596;
22d3 : -5657;
22d4 : -4998;
22d5 : -3115;
22d6 : -3795;
22d7 : -2763;
22d8 : -989;
22d9 : 415;
22da : 1165;
22db : -55;
22dc : -1846;
22dd : -5352;
22de : -6785;
22df : -7356;
22e0 : -6119;
22e1 : -3625;
22e2 : -2272;
22e3 : 722;
22e4 : 2253;
22e5 : 1507;
22e6 : -923;
22e7 : -4927;
22e8 : -5668;
22e9 : -5233;
22ea : -2587;
22eb : -767;
22ec : -809;
22ed : -1522;
22ee : -2652;
22ef : -2456;
22f0 : -1418;
22f1 : -2024;
22f2 : -3041;
22f3 : -1306;
22f4 : 700;
22f5 : 3141;
22f6 : 3793;
22f7 : 3367;
22f8 : 2012;
22f9 : 470;
22fa : -852;
22fb : -1725;
22fc : 4;
22fd : 653;
22fe : 2641;
22ff : 5235;
2300 : 5236;
2301 : 5146;
2302 : 1840;
2303 : 161;
2304 : -98;
2305 : 1236;
2306 : 3270;
2307 : 2683;
2308 : 1492;
2309 : 370;
230a : 1028;
230b : 2080;
230c : 2979;
230d : 1755;
230e : 1549;
230f : 1883;
2310 : 3296;
2311 : 4073;
2312 : 3539;
2313 : 2001;
2314 : 535;
2315 : 1345;
2316 : 1326;
2317 : 2831;
2318 : 3221;
2319 : 2871;
231a : 5302;
231b : 5492;
231c : 5463;
231d : 2884;
231e : -532;
231f : -1202;
2320 : -1051;
2321 : 2483;
2322 : 2922;
2323 : 1519;
2324 : -963;
2325 : -2009;
2326 : -1759;
2327 : -479;
2328 : -1069;
2329 : -799;
232a : 934;
232b : 2138;
232c : 4029;
232d : 3635;
232e : 2421;
232f : -693;
2330 : -2003;
2331 : -3145;
2332 : -3015;
2333 : -1057;
2334 : -1020;
2335 : 1677;
2336 : 2954;
2337 : 1634;
2338 : -251;
2339 : -4021;
233a : -4807;
233b : -5362;
233c : -2198;
233d : 405;
233e : 1403;
233f : -61;
2340 : -1776;
2341 : -2523;
2342 : -2785;
2343 : -4308;
2344 : -5958;
2345 : -4991;
2346 : -3635;
2347 : -1348;
2348 : -656;
2349 : -974;
234a : -3043;
234b : -4298;
234c : -5304;
234d : -6580;
234e : -4338;
234f : -3931;
2350 : -2118;
2351 : 1267;
2352 : 1895;
2353 : 1987;
2354 : -1554;
2355 : -3937;
2356 : -5450;
2357 : -3847;
2358 : -1292;
2359 : -507;
235a : -1399;
235b : -2402;
235c : -1900;
235d : -331;
235e : 238;
235f : -2120;
2360 : -3092;
2361 : -2334;
2362 : 301;
2363 : 2692;
2364 : 3552;
2365 : 2568;
2366 : 1815;
2367 : 2548;
2368 : 729;
2369 : 1412;
236a : 825;
236b : -154;
236c : 2197;
236d : 3173;
236e : 4552;
236f : 3298;
2370 : 1199;
2371 : -40;
2372 : 544;
2373 : 3078;
2374 : 3276;
2375 : 1889;
2376 : -22;
2377 : 336;
2378 : 1924;
2379 : 3445;
237a : 2506;
237b : 1710;
237c : 1618;
237d : 2277;
237e : 4302;
237f : 5031;
2380 : 4510;
2381 : 2846;
2382 : 3378;
2383 : 1376;
2384 : 216;
2385 : 101;
2386 : -2144;
2387 : -1934;
2388 : -1114;
2389 : 1104;
238a : 4063;
238b : 5128;
238c : 5261;
238d : 3771;
238e : 3791;
238f : 4236;
2390 : 3823;
2391 : 1541;
2392 : 727;
2393 : 1427;
2394 : 1349;
2395 : -1615;
2396 : -4818;
2397 : -5486;
2398 : -4600;
2399 : -1119;
239a : 1903;
239b : 3115;
239c : 2733;
239d : 4346;
239e : 2845;
239f : 650;
23a0 : 744;
23a1 : -1287;
23a2 : -2630;
23a3 : -2868;
23a4 : -3986;
23a5 : -3908;
23a6 : -3970;
23a7 : -2567;
23a8 : -1389;
23a9 : -409;
23aa : 677;
23ab : 1086;
23ac : -54;
23ad : -736;
23ae : 1208;
23af : 2227;
23b0 : -143;
23b1 : -5130;
23b2 : -8327;
23b3 : -9465;
23b4 : -7833;
23b5 : -5247;
23b6 : -4043;
23b7 : -2972;
23b8 : 582;
23b9 : 2754;
23ba : 2678;
23bb : 3246;
23bc : 297;
23bd : -3839;
23be : -5005;
23bf : -5421;
23c0 : -5171;
23c1 : -5117;
23c2 : -4881;
23c3 : -3997;
23c4 : -3235;
23c5 : -1799;
23c6 : -1440;
23c7 : -2041;
23c8 : -2805;
23c9 : -141;
23ca : 2863;
23cb : 4086;
23cc : 2844;
23cd : 219;
23ce : -1893;
23cf : -3119;
23d0 : -2136;
23d1 : -1594;
23d2 : -1021;
23d3 : 1547;
23d4 : 4107;
23d5 : 4074;
23d6 : 5291;
23d7 : 4999;
23d8 : 1523;
23d9 : -617;
23da : -1168;
23db : -1023;
23dc : 280;
23dd : 210;
23de : 224;
23df : 171;
23e0 : 2042;
23e1 : 3017;
23e2 : 3119;
23e3 : 2041;
23e4 : 3056;
23e5 : 5025;
23e6 : 4953;
23e7 : 4374;
23e8 : 2218;
23e9 : 462;
23ea : -1453;
23eb : -460;
23ec : -141;
23ed : 192;
23ee : 1770;
23ef : 4319;
23f0 : 4075;
23f1 : 4273;
23f2 : 4592;
23f3 : 2588;
23f4 : 1634;
23f5 : 2769;
23f6 : 2725;
23f7 : 3361;
23f8 : 2217;
23f9 : 788;
23fa : -1054;
23fb : -1114;
23fc : -323;
23fd : 206;
23fe : -166;
23ff : 741;
2400 : 3833;
2401 : 4005;
2402 : 2800;
2403 : -10;
2404 : -1658;
2405 : -3258;
2406 : -2351;
2407 : -1601;
2408 : -596;
2409 : 1522;
240a : 3869;
240b : 3713;
240c : 1925;
240d : 1189;
240e : -1130;
240f : -3540;
2410 : -2142;
2411 : -1001;
2412 : 612;
2413 : 1024;
2414 : -123;
2415 : -2102;
2416 : -3914;
2417 : -4152;
2418 : -4453;
2419 : -4553;
241a : -4214;
241b : -730;
241c : 1633;
241d : 2834;
241e : 718;
241f : -2048;
2420 : -4687;
2421 : -5336;
2422 : -4501;
2423 : -4540;
2424 : -3021;
2425 : -1556;
2426 : -1588;
2427 : -2857;
2428 : -2429;
2429 : -2033;
242a : -4268;
242b : -4653;
242c : -3690;
242d : -2448;
242e : 165;
242f : 162;
2430 : -1058;
2431 : -2575;
2432 : -2457;
2433 : -2175;
2434 : -2251;
2435 : -3431;
2436 : -1862;
2437 : -517;
2438 : 981;
2439 : 1204;
243a : 28;
243b : -1271;
243c : -2055;
243d : 562;
243e : 959;
243f : 2443;
2440 : 3497;
2441 : 2577;
2442 : 215;
2443 : -1305;
2444 : -489;
2445 : -72;
2446 : -61;
2447 : 1454;
2448 : 2231;
2449 : 4825;
244a : 5885;
244b : 4535;
244c : 1540;
244d : -39;
244e : 72;
244f : 696;
2450 : 365;
2451 : 1733;
2452 : 2978;
2453 : 2683;
2454 : 2103;
2455 : 33;
2456 : -962;
2457 : -1414;
2458 : 1045;
2459 : 2901;
245a : 5303;
245b : 7603;
245c : 6862;
245d : 4158;
245e : 622;
245f : -735;
2460 : -708;
2461 : -1042;
2462 : 1152;
2463 : 2607;
2464 : 4901;
2465 : 6853;
2466 : 5150;
2467 : 1336;
2468 : -1441;
2469 : -1719;
246a : -1480;
246b : -1820;
246c : -1723;
246d : 713;
246e : 2159;
246f : 2914;
2470 : 1530;
2471 : -303;
2472 : -1686;
2473 : -215;
2474 : 1263;
2475 : 1909;
2476 : 4418;
2477 : 3993;
2478 : 1853;
2479 : -798;
247a : -2628;
247b : -3068;
247c : -5103;
247d : -4914;
247e : -3066;
247f : -134;
2480 : 3868;
2481 : 3645;
2482 : 1235;
2483 : -1813;
2484 : -2177;
2485 : -1586;
2486 : -1560;
2487 : -2769;
2488 : -2444;
2489 : -2377;
248a : -1600;
248b : -1670;
248c : -3267;
248d : -4512;
248e : -4357;
248f : -2849;
2490 : -3186;
2491 : -1048;
2492 : -494;
2493 : -2325;
2494 : -3967;
2495 : -5367;
2496 : -3559;
2497 : -3067;
2498 : -3330;
2499 : -1714;
249a : -999;
249b : 2527;
249c : 3125;
249d : 334;
249e : -3601;
249f : -4790;
24a0 : -4121;
24a1 : -2564;
24a2 : -1952;
24a3 : -1154;
24a4 : -1676;
24a5 : -2323;
24a6 : -1385;
24a7 : -1796;
24a8 : -1470;
24a9 : -1123;
24aa : 1600;
24ab : 2694;
24ac : 4239;
24ad : 6064;
24ae : 3631;
24af : 1429;
24b0 : -1596;
24b1 : -2338;
24b2 : -1526;
24b3 : -2581;
24b4 : -283;
24b5 : 1594;
24b6 : 5390;
24b7 : 7736;
24b8 : 5557;
24b9 : 1225;
24ba : -1634;
24bb : -1612;
24bc : -104;
24bd : 676;
24be : 1860;
24bf : 3096;
24c0 : 1867;
24c1 : 2426;
24c2 : 1719;
24c3 : 1017;
24c4 : 971;
24c5 : 2194;
24c6 : 3307;
24c7 : 3511;
24c8 : 5425;
24c9 : 3142;
24ca : 1752;
24cb : 751;
24cc : -771;
24cd : -145;
24ce : -1643;
24cf : -712;
24d0 : 914;
24d1 : 3909;
24d2 : 7526;
24d3 : 7009;
24d4 : 3456;
24d5 : -391;
24d6 : -673;
24d7 : 129;
24d8 : 683;
24d9 : 261;
24da : 406;
24db : -808;
24dc : -119;
24dd : 276;
24de : -533;
24df : -359;
24e0 : 172;
24e1 : 1966;
24e2 : 1774;
24e3 : 3775;
24e4 : 2185;
24e5 : -1163;
24e6 : -1909;
24e7 : -2835;
24e8 : -1178;
24e9 : -1887;
24ea : -2238;
24eb : -1551;
24ec : -26;
24ed : 4228;
24ee : 5055;
24ef : 2158;
24f0 : -2508;
24f1 : -4669;
24f2 : -4135;
24f3 : -2278;
24f4 : -1436;
24f5 : -1120;
24f6 : -2959;
24f7 : -4024;
24f8 : -3176;
24f9 : -3758;
24fa : -4222;
24fb : -3996;
24fc : -1912;
24fd : -954;
24fe : 1004;
24ff : 2928;
2500 : -619;
2501 : -3000;
2502 : -5511;
2503 : -5723;
2504 : -5077;
2505 : -5253;
2506 : -4091;
2507 : -2326;
2508 : 1481;
2509 : 4105;
250a : 2670;
250b : -1541;
250c : -4114;
250d : -4730;
250e : -3651;
250f : -2632;
2510 : -379;
2511 : 11;
2512 : -845;
2513 : -652;
2514 : -1572;
2515 : -2401;
2516 : -2103;
2517 : -418;
2518 : 1812;
2519 : 3280;
251a : 6066;
251b : 5020;
251c : 3321;
251d : 1552;
251e : -1126;
251f : -2693;
2520 : -4662;
2521 : -5306;
2522 : -3648;
2523 : 594;
2524 : 5737;
2525 : 7804;
2526 : 5850;
2527 : 4339;
2528 : 2385;
2529 : 1639;
252a : 1864;
252b : 1914;
252c : 2224;
252d : 1654;
252e : 1490;
252f : 796;
2530 : -614;
2531 : -825;
2532 : -654;
2533 : 217;
2534 : 2196;
2535 : 5130;
2536 : 5023;
2537 : 3811;
2538 : 4517;
2539 : 4028;
253a : 2796;
253b : 1086;
253c : -1865;
253d : -3336;
253e : -1308;
253f : 2071;
2540 : 5056;
2541 : 3987;
2542 : 2181;
2543 : 1121;
2544 : -291;
2545 : 136;
2546 : 618;
2547 : 1079;
2548 : 224;
2549 : -765;
254a : -1631;
254b : -3697;
254c : -4957;
254d : -4362;
254e : -3502;
254f : -801;
2550 : 3119;
2551 : 4687;
2552 : 3423;
2553 : 1521;
2554 : 1493;
2555 : 660;
2556 : -1320;
2557 : -2921;
2558 : -4760;
2559 : -3375;
255a : 122;
255b : 3097;
255c : 2997;
255d : 1845;
255e : 1000;
255f : -615;
2560 : -759;
2561 : 490;
2562 : 1901;
2563 : 2643;
2564 : 1788;
2565 : 106;
2566 : -1936;
2567 : -4911;
2568 : -5347;
2569 : -5442;
256a : -4802;
256b : -1227;
256c : 1296;
256d : 1898;
256e : 1844;
256f : 570;
2570 : -831;
2571 : -2540;
2572 : -3415;
2573 : -4640;
2574 : -3822;
2575 : -720;
2576 : 1495;
2577 : 2348;
2578 : 1410;
2579 : 792;
257a : -371;
257b : -2358;
257c : -2757;
257d : -1671;
257e : -928;
257f : 184;
2580 : 638;
2581 : 47;
2582 : -1857;
2583 : -3215;
2584 : -3998;
2585 : -4506;
2586 : -1630;
2587 : 1143;
2588 : 1571;
2589 : 2101;
258a : 1097;
258b : -33;
258c : -2171;
258d : -4154;
258e : -6220;
258f : -6374;
2590 : -3178;
2591 : -49;
2592 : 1446;
2593 : 114;
2594 : -723;
2595 : -1008;
2596 : -1497;
2597 : -1448;
2598 : -668;
2599 : -308;
259a : 145;
259b : 1124;
259c : 1256;
259d : 595;
259e : -285;
259f : -1118;
25a0 : -2427;
25a1 : -1071;
25a2 : 2756;
25a3 : 4107;
25a4 : 4504;
25a5 : 3195;
25a6 : 2175;
25a7 : 1545;
25a8 : 1193;
25a9 : 373;
25aa : -885;
25ab : 1149;
25ac : 3322;
25ad : 5411;
25ae : 4957;
25af : 3158;
25b0 : 1989;
25b1 : 789;
25b2 : 1188;
25b3 : 2551;
25b4 : 3852;
25b5 : 4732;
25b6 : 5683;
25b7 : 5426;
25b8 : 3850;
25b9 : 2536;
25ba : 2413;
25bb : 1645;
25bc : 1748;
25bd : 5255;
25be : 7676;
25bf : 9134;
25c0 : 8574;
25c1 : 6351;
25c2 : 4128;
25c3 : 1806;
25c4 : 811;
25c5 : -968;
25c6 : 331;
25c7 : 2109;
25c8 : 3775;
25c9 : 4972;
25ca : 3841;
25cb : 2760;
25cc : 84;
25cd : -2402;
25ce : -3102;
25cf : -2763;
25d0 : -1985;
25d1 : -829;
25d2 : -652;
25d3 : -2377;
25d4 : -5079;
25d5 : -7327;
25d6 : -9409;
25d7 : -10614;
25d8 : -8549;
25d9 : -6898;
25da : -5253;
25db : -3458;
25dc : -4307;
25dd : -4942;
25de : -6571;
25df : -7195;
25e0 : -8723;
25e1 : -8698;
25e2 : -6634;
25e3 : -5279;
25e4 : -3779;
25e5 : -3874;
25e6 : -3218;
25e7 : -3151;
25e8 : -4548;
25e9 : -5051;
25ea : -5034;
25eb : -4700;
25ec : -2821;
25ed : -987;
25ee : -286;
25ef : -937;
25f0 : -1698;
25f1 : -2283;
25f2 : -3183;
25f3 : -1636;
25f4 : 450;
25f5 : 635;
25f6 : 1186;
25f7 : 599;
25f8 : 96;
25f9 : -882;
25fa : -916;
25fb : -834;
25fc : -812;
25fd : 1102;
25fe : 2604;
25ff : 3878;
2600 : 3854;
2601 : 3512;
2602 : 3805;
2603 : 4061;
2604 : 5013;
2605 : 6596;
2606 : 7285;
2607 : 7877;
2608 : 8472;
2609 : 8643;
260a : 7733;
260b : 6892;
260c : 6281;
260d : 4148;
260e : 4236;
260f : 5550;
2610 : 5657;
2611 : 6193;
2612 : 5403;
2613 : 4129;
2614 : 3233;
2615 : 3878;
2616 : 3874;
2617 : 2528;
2618 : 2921;
2619 : 2802;
261a : 1999;
261b : -234;
261c : -2932;
261d : -5035;
261e : -7180;
261f : -8996;
2620 : -7927;
2621 : -7167;
2622 : -6647;
2623 : -5766;
2624 : -5593;
2625 : -7947;
2626 : -9636;
2627 : -10533;
2628 : -12575;
2629 : -8620;
262a : -7736;
262b : -338;
262c : -3494;
262d : -1230;
262e : 5960;
262f : 2971;
2630 : 3826;
2631 : 2912;
2632 : 3235;
2633 : 3996;
2634 : 4902;
2635 : 4559;
2636 : 4681;
2637 : 3999;
2638 : 3643;
2639 : 4422;
263a : 3629;
263b : 3284;
263c : 4552;
263d : 6042;
263e : 6886;
263f : 7114;
2640 : 7019;
2641 : 4359;
2642 : 2286;
2643 : 1190;
2644 : 2528;
2645 : 5559;
2646 : 6274;
2647 : 7169;
2648 : 8673;
2649 : 8045;
264a : 5807;
264b : 1100;
264c : 778;
264d : 464;
264e : 345;
264f : 2051;
2650 : -1546;
2651 : -45;
2652 : 1299;
2653 : 164;
2654 : -1364;
2655 : -5813;
2656 : -4751;
2657 : -3479;
2658 : -5803;
2659 : -3712;
265a : -3752;
265b : -3146;
265c : -3167;
265d : -3627;
265e : -4055;
265f : -6823;
2660 : -7056;
2661 : -6020;
2662 : -6226;
2663 : -5523;
2664 : -5747;
2665 : -5901;
2666 : -7296;
2667 : -8235;
2668 : -8279;
2669 : -9204;
266a : -9152;
266b : -9186;
266c : -9767;
266d : -10302;
266e : -10765;
266f : -11516;
2670 : -11827;
2671 : -11660;
2672 : -8690;
2673 : -7776;
2674 : -6001;
2675 : -4691;
2676 : -3475;
2677 : -1587;
2678 : -1913;
2679 : -515;
267a : -2453;
267b : -3470;
267c : -2086;
267d : -3346;
267e : -2273;
267f : 332;
2680 : 1571;
2681 : 2454;
2682 : 3801;
2683 : 3446;
2684 : 5022;
2685 : 6050;
2686 : 7476;
2687 : 8033;
2688 : 6678;
2689 : 7706;
268a : 8154;
268b : 6755;
268c : 6202;
268d : 6865;
268e : 7529;
268f : 8847;
2690 : 8965;
2691 : 10100;
2692 : 11461;
2693 : 11687;
2694 : 12186;
2695 : 11329;
2696 : 11353;
2697 : 11960;
2698 : 11678;
2699 : 11437;
269a : 12102;
269b : 12363;
269c : 12427;
269d : 12146;
269e : 11885;
269f : 11696;
26a0 : 11697;
26a1 : 12830;
26a2 : 11759;
26a3 : 10861;
26a4 : 10434;
26a5 : 9305;
26a6 : 7796;
26a7 : 5846;
26a8 : 4725;
26a9 : 3844;
26aa : 2878;
26ab : 2206;
26ac : 2177;
26ad : 1707;
26ae : 1440;
26af : 730;
26b0 : -558;
26b1 : -976;
26b2 : -402;
26b3 : -355;
26b4 : -2579;
26b5 : -4079;
26b6 : -6244;
26b7 : -7966;
26b8 : -8930;
26b9 : -9571;
26ba : -10301;
26bb : -10868;
26bc : -10184;
26bd : -10223;
26be : -10533;
26bf : -11010;
26c0 : -10287;
26c1 : -11219;
26c2 : -13034;
26c3 : -13639;
26c4 : -13282;
26c5 : -12103;
26c6 : -10684;
26c7 : -10017;
26c8 : -9829;
26c9 : -9435;
26ca : -6878;
26cb : -6488;
26cc : -6314;
26cd : -5670;
26ce : -4709;
26cf : -4407;
26d0 : -3522;
26d1 : -3330;
26d2 : -4735;
26d3 : -5566;
26d4 : -5832;
26d5 : -5245;
26d6 : -4290;
26d7 : -2084;
26d8 : -439;
26d9 : 755;
26da : 1591;
26db : 2874;
26dc : 3089;
26dd : 1894;
26de : 1533;
26df : 1327;
26e0 : 1808;
26e1 : 2974;
26e2 : 4444;
26e3 : 5092;
26e4 : 3876;
26e5 : 3963;
26e6 : 3851;
26e7 : 2558;
26e8 : 2568;
26e9 : 2379;
26ea : 2090;
26eb : 2219;
26ec : 2538;
26ed : 2232;
26ee : 2048;
26ef : 1198;
26f0 : -574;
26f1 : -1243;
26f2 : -48;
26f3 : 1118;
26f4 : 1002;
26f5 : 175;
26f6 : -328;
26f7 : -445;
26f8 : -1764;
26f9 : -2595;
26fa : -2254;
26fb : -2684;
26fc : -2253;
26fd : -2426;
26fe : -2311;
26ff : -2144;
2700 : -1937;
2701 : -1576;
2702 : -2835;
2703 : -1349;
2704 : -252;
2705 : -602;
2706 : -208;
2707 : -912;
2708 : -1550;
2709 : -2210;
270a : -3384;
270b : -3306;
270c : -3513;
270d : -2811;
270e : -1460;
270f : -2046;
2710 : -1767;
2711 : -652;
2712 : 414;
2713 : 589;
2714 : 467;
2715 : 1334;
2716 : 1912;
2717 : 2762;
2718 : 3767;
2719 : 4141;
271a : 4669;
271b : 4417;
271c : 4646;
271d : 2491;
271e : 1171;
271f : 1061;
2720 : 719;
2721 : 2918;
2722 : 4476;
2723 : 4765;
2724 : 4599;
2725 : 2652;
2726 : 1783;
2727 : 2676;
2728 : 3941;
2729 : 4989;
272a : 4077;
272b : 2587;
272c : 2867;
272d : 3225;
272e : 3536;
272f : 4152;
2730 : 3441;
2731 : 1884;
2732 : 663;
2733 : -260;
2734 : -1533;
2735 : -2010;
2736 : -354;
2737 : 925;
2738 : -417;
2739 : -2042;
273a : -1775;
273b : -1432;
273c : -1004;
273d : -434;
273e : -873;
273f : -3115;
2740 : -3440;
2741 : -2045;
2742 : -1371;
2743 : 506;
2744 : 2703;
2745 : 1327;
2746 : -2530;
2747 : -6185;
2748 : -8286;
2749 : -8766;
274a : -7869;
274b : -6206;
274c : -4799;
274d : -4528;
274e : -3685;
274f : -2562;
2750 : -2433;
2751 : -3429;
2752 : -4255;
2753 : -6147;
2754 : -6888;
2755 : -3946;
2756 : -1659;
2757 : -238;
2758 : 695;
2759 : -725;
275a : -2234;
275b : -3689;
275c : -5169;
275d : -5250;
275e : -2985;
275f : 412;
2760 : 2166;
2761 : 3058;
2762 : 1832;
2763 : 1879;
2764 : 1036;
2765 : -189;
2766 : 895;
2767 : 1811;
2768 : 2850;
2769 : 6391;
276a : 7707;
276b : 8034;
276c : 8933;
276d : 8270;
276e : 7433;
276f : 3836;
2770 : 2561;
2771 : 2741;
2772 : 3757;
2773 : 6463;
2774 : 7862;
2775 : 7699;
2776 : 6885;
2777 : 5627;
2778 : 5542;
2779 : 3726;
277a : 5128;
277b : 6183;
277c : 4919;
277d : 6497;
277e : 7209;
277f : 8089;
2780 : 7268;
2781 : 6296;
2782 : 5387;
2783 : 3784;
2784 : 2362;
2785 : 1960;
2786 : 1472;
2787 : 1064;
2788 : 633;
2789 : 867;
278a : -805;
278b : -2363;
278c : -1341;
278d : -2741;
278e : -2933;
278f : -2954;
2790 : -4436;
2791 : -3657;
2792 : -2868;
2793 : -3256;
2794 : -2346;
2795 : -1311;
2796 : -845;
2797 : -1643;
2798 : -4551;
2799 : -5941;
279a : -6685;
279b : -7690;
279c : -7980;
279d : -6990;
279e : -6801;
279f : -6552;
27a0 : -4967;
27a1 : -4572;
27a2 : -5105;
27a3 : -5288;
27a4 : -5489;
27a5 : -5674;
27a6 : -3746;
27a7 : -1396;
27a8 : -252;
27a9 : -360;
27aa : -973;
27ab : -2396;
27ac : -4055;
27ad : -5599;
27ae : -6299;
27af : -5178;
27b0 : -3920;
27b1 : -1490;
27b2 : 447;
27b3 : 686;
27b4 : 1906;
27b5 : 2695;
27b6 : 1518;
27b7 : 1261;
27b8 : 1317;
27b9 : 1772;
27ba : 3673;
27bb : 5949;
27bc : 7306;
27bd : 8623;
27be : 7907;
27bf : 8414;
27c0 : 5974;
27c1 : 3091;
27c2 : 3362;
27c3 : 3772;
27c4 : 4430;
27c5 : 4782;
27c6 : 5090;
27c7 : 3404;
27c8 : 3069;
27c9 : 3659;
27ca : 3437;
27cb : 3162;
27cc : 3298;
27cd : 2223;
27ce : 2894;
27cf : 4101;
27d0 : 5015;
27d1 : 5306;
27d2 : 2686;
27d3 : 998;
27d4 : -56;
27d5 : -1652;
27d6 : -2137;
27d7 : -2008;
27d8 : -2412;
27d9 : -3805;
27da : -3374;
27db : -3340;
27dc : -5043;
27dd : -2957;
27de : -2524;
27df : -2946;
27e0 : -2354;
27e1 : -3410;
27e2 : -3444;
27e3 : -3387;
27e4 : -3477;
27e5 : -3366;
27e6 : -3192;
27e7 : -2883;
27e8 : -2679;
27e9 : -4044;
27ea : -5168;
27eb : -4896;
27ec : -3730;
27ed : -4600;
27ee : -5407;
27ef : -4572;
27f0 : -5716;
27f1 : -3697;
27f2 : -2338;
27f3 : -2134;
27f4 : -1829;
27f5 : -2468;
27f6 : -1956;
27f7 : -1382;
27f8 : 312;
27f9 : 2262;
27fa : 3033;
27fb : 2315;
27fc : 1648;
27fd : 617;
27fe : -153;
27ff : -839;
2800 : -660;
2801 : -623;
2802 : -57;
2803 : 2082;
2804 : 2751;
2805 : 3444;
2806 : 5424;
2807 : 5347;
2808 : 4262;
2809 : 2284;
280a : 2101;
280b : 2559;
280c : 3476;
280d : 5206;
280e : 5840;
280f : 6694;
2810 : 6973;
2811 : 7474;
2812 : 5445;
2813 : 3210;
2814 : 3474;
2815 : 3202;
2816 : 2862;
2817 : 3637;
2818 : 3131;
2819 : 2378;
281a : 2528;
281b : 875;
281c : 103;
281d : -1014;
281e : -957;
281f : -597;
2820 : 66;
2821 : 1387;
2822 : 2423;
2823 : 1517;
2824 : -483;
2825 : -1263;
2826 : -2884;
2827 : -4668;
2828 : -5029;
2829 : -5376;
282a : -5373;
282b : -5231;
282c : -3728;
282d : -3791;
282e : -4420;
282f : -3672;
2830 : -3919;
2831 : -4756;
2832 : -5199;
2833 : -4500;
2834 : -3233;
2835 : -2374;
2836 : -1816;
2837 : -1795;
2838 : -1278;
2839 : -304;
283a : -892;
283b : -2787;
283c : -3369;
283d : -2241;
283e : -1657;
283f : -2467;
2840 : -2079;
2841 : -1666;
2842 : -1009;
2843 : 294;
2844 : -200;
2845 : 34;
2846 : -1471;
2847 : -493;
2848 : 1165;
2849 : 1416;
284a : 3636;
284b : 4648;
284c : 4437;
284d : 4899;
284e : 4617;
284f : 4010;
2850 : 3768;
2851 : 2935;
2852 : 2019;
2853 : 1244;
2854 : 1984;
2855 : 2567;
2856 : 3037;
2857 : 3875;
2858 : 4498;
2859 : 4766;
285a : 3253;
285b : 2328;
285c : 3443;
285d : 3757;
285e : 4648;
285f : 5321;
2860 : 4754;
2861 : 4572;
2862 : 4310;
2863 : 2826;
2864 : -447;
2865 : -1585;
2866 : -1553;
2867 : -2220;
2868 : -2369;
2869 : -2779;
286a : -3334;
286b : -2433;
286c : -2683;
286d : -2991;
286e : -3356;
286f : -4259;
2870 : -2990;
2871 : -2799;
2872 : -2440;
2873 : -527;
2874 : -357;
2875 : -1263;
2876 : -2705;
2877 : -4335;
2878 : -5697;
2879 : -5739;
287a : -4971;
287b : -5119;
287c : -4919;
287d : -3743;
287e : -2680;
287f : -2520;
2880 : -3003;
2881 : -2854;
2882 : -3087;
2883 : -3904;
2884 : -3130;
2885 : -1635;
2886 : -1215;
2887 : -261;
2888 : 116;
2889 : -444;
288a : 403;
288b : 1025;
288c : 657;
288d : -50;
288e : 631;
288f : 1350;
2890 : 1299;
2891 : 1039;
2892 : 511;
2893 : 1147;
2894 : 1599;
2895 : 2081;
2896 : 3226;
2897 : 2795;
2898 : 2897;
2899 : 5370;
289a : 5123;
289b : 4826;
289c : 6114;
289d : 5760;
289e : 5614;
289f : 5362;
28a0 : 4538;
28a1 : 3982;
28a2 : 4047;
28a3 : 3859;
28a4 : 2147;
28a5 : 2137;
28a6 : 2275;
28a7 : 2210;
28a8 : 2514;
28a9 : 1358;
28aa : 2203;
28ab : 1491;
28ac : 167;
28ad : 790;
28ae : 1013;
28af : 361;
28b0 : 1246;
28b1 : 1091;
28b2 : 313;
28b3 : 155;
28b4 : -690;
28b5 : -2216;
28b6 : -3413;
28b7 : -2584;
28b8 : -2685;
28b9 : -3347;
28ba : -3564;
28bb : -4352;
28bc : -3851;
28bd : -3605;
28be : -3581;
28bf : -4319;
28c0 : -5794;
28c1 : -5040;
28c2 : -4100;
28c3 : -4255;
28c4 : -3586;
28c5 : -2131;
28c6 : -2059;
28c7 : -2490;
28c8 : -3304;
28c9 : -3510;
28ca : -3730;
28cb : -2574;
28cc : -2726;
28cd : -3879;
28ce : -3869;
28cf : -3548;
28d0 : -2306;
28d1 : -2836;
28d2 : -2376;
28d3 : -1010;
28d4 : -1964;
28d5 : -998;
28d6 : 333;
28d7 : 302;
28d8 : 1688;
28d9 : 2737;
28da : 2753;
28db : 2980;
28dc : 4128;
28dd : 4247;
28de : 3707;
28df : 3736;
28e0 : 4373;
28e1 : 4576;
28e2 : 4106;
28e3 : 3456;
28e4 : 3667;
28e5 : 4251;
28e6 : 4465;
28e7 : 5555;
28e8 : 4832;
28e9 : 3856;
28ea : 4669;
28eb : 5356;
28ec : 4677;
28ed : 5737;
28ee : 6713;
28ef : 5633;
28f0 : 4663;
28f1 : 3150;
28f2 : 1786;
28f3 : 1547;
28f4 : 1390;
28f5 : -249;
28f6 : -1628;
28f7 : -1603;
28f8 : -720;
28f9 : -252;
28fa : -1312;
28fb : -1669;
28fc : -2258;
28fd : -4279;
28fe : -4237;
28ff : -3307;
2900 : -3308;
2901 : -2059;
2902 : -1487;
2903 : -1676;
2904 : -2139;
2905 : -2415;
2906 : -2992;
2907 : -4465;
2908 : -4759;
2909 : -4215;
290a : -4788;
290b : -5184;
290c : -5357;
290d : -5517;
290e : -5165;
290f : -5031;
2910 : -4865;
2911 : -6214;
2912 : -5331;
2913 : -2655;
2914 : -1941;
2915 : -1426;
2916 : -532;
2917 : -659;
2918 : -1163;
2919 : -1559;
291a : -1886;
291b : -1666;
291c : -607;
291d : 441;
291e : -125;
291f : -56;
2920 : 59;
2921 : 1571;
2922 : 1757;
2923 : 1209;
2924 : 2755;
2925 : 2951;
2926 : 2751;
2927 : 4236;
2928 : 4691;
2929 : 4657;
292a : 5542;
292b : 4857;
292c : 3792;
292d : 3380;
292e : 4592;
292f : 4688;
2930 : 4760;
2931 : 5330;
2932 : 5250;
2933 : 4909;
2934 : 3969;
2935 : 3454;
2936 : 3831;
2937 : 3022;
2938 : 3504;
2939 : 3477;
293a : 1923;
293b : 2156;
293c : 3015;
293d : 3310;
293e : 2571;
293f : 3034;
2940 : 2486;
2941 : 1208;
2942 : 210;
2943 : -906;
2944 : -1512;
2945 : -1227;
2946 : -2243;
2947 : -3689;
2948 : -3989;
2949 : -3140;
294a : -1194;
294b : -1678;
294c : -2070;
294d : -2758;
294e : -3967;
294f : -4085;
2950 : -3822;
2951 : -3706;
2952 : -3746;
2953 : -3386;
2954 : -3978;
2955 : -4649;
2956 : -4877;
2957 : -4013;
2958 : -3745;
2959 : -3886;
295a : -3342;
295b : -2651;
295c : -2672;
295d : -2567;
295e : -2788;
295f : -3835;
2960 : -4234;
2961 : -4124;
2962 : -5321;
2963 : -5970;
2964 : -3757;
2965 : -1653;
2966 : 36;
2967 : 788;
2968 : 1211;
2969 : 1098;
296a : 463;
296b : 383;
296c : 1030;
296d : 1906;
296e : 2667;
296f : 2625;
2970 : 1803;
2971 : 1551;
2972 : 2406;
2973 : 3882;
2974 : 3116;
2975 : 3527;
2976 : 3489;
2977 : 3273;
2978 : 4287;
2979 : 4898;
297a : 4781;
297b : 5159;
297c : 4700;
297d : 4026;
297e : 3390;
297f : 3712;
2980 : 4673;
2981 : 4939;
2982 : 4916;
2983 : 3548;
2984 : 3427;
2985 : 2648;
2986 : 2347;
2987 : 2723;
2988 : 2025;
2989 : 1615;
298a : 362;
298b : -2091;
298c : -2473;
298d : -2240;
298e : -1319;
298f : -106;
2990 : -838;
2991 : 297;
2992 : 690;
2993 : 4271;
2994 : 5276;
2995 : 1249;
2996 : -1749;
2997 : -4565;
2998 : -12253;
2999 : -15386;
299a : -14489;
299b : -8547;
299c : -1770;
299d : 3318;
299e : 4560;
299f : 1327;
29a0 : -3588;
29a1 : -3863;
29a2 : -3425;
29a3 : -2797;
29a4 : -2427;
29a5 : -845;
29a6 : -835;
29a7 : -3972;
29a8 : -6054;
29a9 : -5483;
29aa : -6068;
29ab : -4794;
29ac : -2241;
29ad : -1026;
29ae : 1539;
29af : 4132;
29b0 : 2369;
29b1 : -3481;
29b2 : -7194;
29b3 : -3179;
29b4 : 2230;
29b5 : 5595;
29b6 : 10056;
29b7 : 10768;
29b8 : 6262;
29b9 : -3684;
29ba : -7918;
29bb : -7937;
29bc : -4256;
29bd : 4663;
29be : 14146;
29bf : 15131;
29c0 : 9182;
29c1 : 3051;
29c2 : 1418;
29c3 : -1552;
29c4 : -143;
29c5 : 2767;
29c6 : 5872;
29c7 : 7420;
29c8 : 7482;
29c9 : 5598;
29ca : 2598;
29cb : 389;
29cc : 2339;
29cd : 1108;
29ce : 197;
29cf : 2298;
29d0 : 2438;
29d1 : 745;
29d2 : -5758;
29d3 : -4102;
29d4 : 2691;
29d5 : 7475;
29d6 : 11431;
29d7 : 9134;
29d8 : 4453;
29d9 : -7978;
29da : -15637;
29db : -17920;
29dc : -17487;
29dd : -10880;
29de : 1718;
29df : 7927;
29e0 : 7398;
29e1 : 753;
29e2 : -1124;
29e3 : -2871;
29e4 : -5135;
29e5 : -4606;
29e6 : -3632;
29e7 : -498;
29e8 : 1079;
29e9 : -921;
29ea : -6537;
29eb : -12024;
29ec : -8857;
29ed : -4498;
29ee : -3552;
29ef : 564;
29f0 : 2380;
29f1 : 2070;
29f2 : -5180;
29f3 : -12395;
29f4 : -6877;
29f5 : 65;
29f6 : 7022;
29f7 : 12219;
29f8 : 11463;
29f9 : 3527;
29fa : -7744;
29fb : -12128;
29fc : -14778;
29fd : -13288;
29fe : -480;
29ff : 10698;
2a00 : 14722;
2a01 : 11757;
2a02 : 5885;
2a03 : 4519;
2a04 : 348;
2a05 : -178;
2a06 : 1166;
2a07 : 2386;
2a08 : 6062;
2a09 : 8480;
2a0a : 6358;
2a0b : 1601;
2a0c : -698;
2a0d : 1075;
2a0e : -699;
2a0f : 1499;
2a10 : 6924;
2a11 : 11059;
2a12 : 9857;
2a13 : 608;
2a14 : -3045;
2a15 : 1373;
2a16 : 5006;
2a17 : 11298;
2a18 : 12209;
2a19 : 8880;
2a1a : 527;
2a1b : -8762;
2a1c : -13754;
2a1d : -15901;
2a1e : -11082;
2a1f : 601;
2a20 : 7029;
2a21 : 7732;
2a22 : 1724;
2a23 : 938;
2a24 : 330;
2a25 : -204;
2a26 : 159;
2a27 : -190;
2a28 : -1555;
2a29 : -473;
2a2a : -2637;
2a2b : -5536;
2a2c : -8270;
2a2d : -7476;
2a2e : -5387;
2a2f : -7107;
2a30 : -4647;
2a31 : 369;
2a32 : 3288;
2a33 : -2869;
2a34 : -9503;
2a35 : -6782;
2a36 : -2553;
2a37 : 2244;
2a38 : 7206;
2a39 : 7461;
2a3a : 2401;
2a3b : -6485;
2a3c : -13584;
2a3d : -16786;
2a3e : -17020;
2a3f : -6980;
2a40 : 3236;
2a41 : 7376;
2a42 : 3745;
2a43 : -555;
2a44 : -2309;
2a45 : -1840;
2a46 : 642;
2a47 : 2173;
2a48 : 3992;
2a49 : 6199;
2a4a : 7378;
2a4b : 4140;
2a4c : 1847;
2a4d : -239;
2a4e : 861;
2a4f : 47;
2a50 : 250;
2a51 : 3539;
2a52 : 7163;
2a53 : 7061;
2a54 : -418;
2a55 : -3204;
2a56 : 2069;
2a57 : 7367;
2a58 : 11404;
2a59 : 13852;
2a5a : 12480;
2a5b : 5155;
2a5c : -2849;
2a5d : -7591;
2a5e : -10990;
2a5f : -9760;
2a60 : 388;
2a61 : 9224;
2a62 : 10848;
2a63 : 5199;
2a64 : 3661;
2a65 : 2529;
2a66 : 2375;
2a67 : 2094;
2a68 : 2918;
2a69 : 783;
2a6a : 977;
2a6b : -1;
2a6c : -3203;
2a6d : -6691;
2a6e : -5170;
2a6f : -2166;
2a70 : -3313;
2a71 : -1984;
2a72 : 754;
2a73 : 2878;
2a74 : -1342;
2a75 : -8144;
2a76 : -6248;
2a77 : -2306;
2a78 : 1108;
2a79 : 4909;
2a7a : 6149;
2a7b : 619;
2a7c : -5939;
2a7d : -12195;
2a7e : -15520;
2a7f : -17039;
2a80 : -9823;
2a81 : -905;
2a82 : 5301;
2a83 : 3736;
2a84 : -500;
2a85 : -1915;
2a86 : -2513;
2a87 : -3243;
2a88 : -1953;
2a89 : 350;
2a8a : 3091;
2a8b : 4894;
2a8c : 2341;
2a8d : -2153;
2a8e : -6167;
2a8f : -3491;
2a90 : -1650;
2a91 : -1390;
2a92 : 1724;
2a93 : 6348;
2a94 : 7861;
2a95 : 629;
2a96 : -3173;
2a97 : 2353;
2a98 : 4386;
2a99 : 7174;
2a9a : 11124;
2a9b : 10136;
2a9c : 5043;
2a9d : 755;
2a9e : -1927;
2a9f : -6259;
2aa0 : -8236;
2aa1 : -1220;
2aa2 : 5952;
2aa3 : 8263;
2aa4 : 5927;
2aa5 : 6405;
2aa6 : 5859;
2aa7 : 3931;
2aa8 : 2308;
2aa9 : 1157;
2aaa : 8;
2aab : 4183;
2aac : 4026;
2aad : 597;
2aae : -3940;
2aaf : -4320;
2ab0 : -2371;
2ab1 : -5087;
2ab2 : -3925;
2ab3 : 721;
2ab4 : 3823;
2ab5 : 1844;
2ab6 : -4514;
2ab7 : -2466;
2ab8 : 1098;
2ab9 : 2763;
2aba : 4754;
2abb : 3043;
2abc : -980;
2abd : -4862;
2abe : -9170;
2abf : -10386;
2ac0 : -13775;
2ac1 : -10411;
2ac2 : -3346;
2ac3 : 315;
2ac4 : -2088;
2ac5 : -3761;
2ac6 : -738;
2ac7 : 1277;
2ac8 : -654;
2ac9 : 219;
2aca : -1037;
2acb : 508;
2acc : 1928;
2acd : -658;
2ace : -3923;
2acf : -7663;
2ad0 : -3694;
2ad1 : -2378;
2ad2 : -5103;
2ad3 : -1800;
2ad4 : 2899;
2ad5 : 4260;
2ad6 : -1862;
2ad7 : -5171;
2ad8 : 1048;
2ad9 : 3795;
2ada : 7843;
2adb : 12216;
2adc : 9396;
2add : 5228;
2ade : 45;
2adf : -3412;
2ae0 : -7201;
2ae1 : -7935;
2ae2 : -529;
2ae3 : 4321;
2ae4 : 5054;
2ae5 : 3070;
2ae6 : 3320;
2ae7 : 6210;
2ae8 : 6533;
2ae9 : 6458;
2aea : 5105;
2aeb : 2167;
2aec : 5049;
2aed : 4983;
2aee : 2752;
2aef : 374;
2af0 : 1036;
2af1 : 3825;
2af2 : 1087;
2af3 : 526;
2af4 : 3315;
2af5 : 4862;
2af6 : 3711;
2af7 : -3228;
2af8 : -1445;
2af9 : 3705;
2afa : 4128;
2afb : 5055;
2afc : 4954;
2afd : -245;
2afe : -4066;
2aff : -7334;
2b00 : -7644;
2b01 : -10051;
2b02 : -6703;
2b03 : 17;
2b04 : 2818;
2b05 : -514;
2b06 : -3551;
2b07 : -2749;
2b08 : -1093;
2b09 : -2473;
2b0a : -974;
2b0b : -1858;
2b0c : -2194;
2b0d : 871;
2b0e : -631;
2b0f : -5422;
2b10 : -8260;
2b11 : -4684;
2b12 : -2607;
2b13 : -4788;
2b14 : -2785;
2b15 : -1162;
2b16 : -1314;
2b17 : -6346;
2b18 : -8097;
2b19 : -1921;
2b1a : 2379;
2b1b : 5081;
2b1c : 8776;
2b1d : 5249;
2b1e : -441;
2b1f : -4117;
2b20 : -7136;
2b21 : -8549;
2b22 : -8597;
2b23 : -2898;
2b24 : 2122;
2b25 : 2584;
2b26 : 517;
2b27 : 435;
2b28 : 3356;
2b29 : 4373;
2b2a : 4168;
2b2b : 4320;
2b2c : 2706;
2b2d : 5227;
2b2e : 8022;
2b2f : 4981;
2b30 : 882;
2b31 : -926;
2b32 : 1487;
2b33 : 1515;
2b34 : -41;
2b35 : 2644;
2b36 : 5031;
2b37 : 3556;
2b38 : -2246;
2b39 : 58;
2b3a : 4565;
2b3b : 6942;
2b3c : 9036;
2b3d : 9811;
2b3e : 3380;
2b3f : -523;
2b40 : -3342;
2b41 : -4384;
2b42 : -6271;
2b43 : -4678;
2b44 : 1361;
2b45 : 3195;
2b46 : -140;
2b47 : -2456;
2b48 : -741;
2b49 : 1870;
2b4a : 2392;
2b4b : 2599;
2b4c : -1155;
2b4d : -2651;
2b4e : 1079;
2b4f : 559;
2b50 : -3644;
2b51 : -6924;
2b52 : -5951;
2b53 : -3227;
2b54 : -4568;
2b55 : -2799;
2b56 : -345;
2b57 : 739;
2b58 : -4140;
2b59 : -6449;
2b5a : -3238;
2b5b : 885;
2b5c : 3439;
2b5d : 6809;
2b5e : 3830;
2b5f : -1657;
2b60 : -5515;
2b61 : -8654;
2b62 : -9265;
2b63 : -9944;
2b64 : -4624;
2b65 : 359;
2b66 : 323;
2b67 : -3110;
2b68 : -2971;
2b69 : 1726;
2b6a : 4056;
2b6b : 5144;
2b6c : 4832;
2b6d : 2198;
2b6e : 3832;
2b6f : 6527;
2b70 : 3584;
2b71 : 57;
2b72 : -2310;
2b73 : 573;
2b74 : 6;
2b75 : -1505;
2b76 : 1341;
2b77 : 3632;
2b78 : 3464;
2b79 : -1701;
2b7a : -849;
2b7b : 3608;
2b7c : 6426;
2b7d : 9824;
2b7e : 12796;
2b7f : 7907;
2b80 : 4444;
2b81 : -1455;
2b82 : -5041;
2b83 : -8261;
2b84 : -5848;
2b85 : 1092;
2b86 : 3666;
2b87 : 1977;
2b88 : -1134;
2b89 : -428;
2b8a : 1779;
2b8b : 2108;
2b8c : 3045;
2b8d : 1144;
2b8e : -1948;
2b8f : 1612;
2b90 : 1455;
2b91 : -1658;
2b92 : -4636;
2b93 : -4879;
2b94 : -1054;
2b95 : -3056;
2b96 : -642;
2b97 : 547;
2b98 : 1093;
2b99 : -3619;
2b9a : -8030;
2b9b : -5060;
2b9c : -1035;
2b9d : 594;
2b9e : 5825;
2b9f : 5319;
2ba0 : 758;
2ba1 : -3202;
2ba2 : -8794;
2ba3 : -11216;
2ba4 : -12477;
2ba5 : -5843;
2ba6 : 858;
2ba7 : 1716;
2ba8 : -595;
2ba9 : -3260;
2baa : -1239;
2bab : -1333;
2bac : -1144;
2bad : 411;
2bae : -795;
2baf : 1822;
2bb0 : 5788;
2bb1 : 2754;
2bb2 : -1146;
2bb3 : -4511;
2bb4 : -1752;
2bb5 : 171;
2bb6 : 840;
2bb7 : 4593;
2bb8 : 5323;
2bb9 : 3869;
2bba : -2762;
2bbb : -3873;
2bbc : 180;
2bbd : 2432;
2bbe : 6198;
2bbf : 11588;
2bc0 : 9133;
2bc1 : 7195;
2bc2 : 2094;
2bc3 : -2161;
2bc4 : -5757;
2bc5 : -6362;
2bc6 : 73;
2bc7 : 3169;
2bc8 : 3022;
2bc9 : 1600;
2bca : 2931;
2bcb : 3756;
2bcc : 1819;
2bcd : 3530;
2bce : 2917;
2bcf : 1799;
2bd0 : 7162;
2bd1 : 8601;
2bd2 : 3536;
2bd3 : -2896;
2bd4 : -7042;
2bd5 : -4013;
2bd6 : -3922;
2bd7 : -201;
2bd8 : 3230;
2bd9 : 3907;
2bda : -101;
2bdb : -4545;
2bdc : -3087;
2bdd : 93;
2bde : 1477;
2bdf : 6620;
2be0 : 6275;
2be1 : 2626;
2be2 : -668;
2be3 : -6530;
2be4 : -9514;
2be5 : -11547;
2be6 : -8673;
2be7 : -2445;
2be8 : -598;
2be9 : -1007;
2bea : -1524;
2beb : 5;
2bec : -493;
2bed : -1293;
2bee : -1465;
2bef : -4238;
2bf0 : -2815;
2bf1 : 3016;
2bf2 : 2358;
2bf3 : -1901;
2bf4 : -7256;
2bf5 : -6688;
2bf6 : -4299;
2bf7 : -3132;
2bf8 : 1183;
2bf9 : 3614;
2bfa : 2678;
2bfb : -3852;
2bfc : -6180;
2bfd : -3071;
2bfe : -396;
2bff : 3148;
2c00 : 9799;
2c01 : 8496;
2c02 : 5471;
2c03 : 1624;
2c04 : -2525;
2c05 : -5560;
2c06 : -6660;
2c07 : -1544;
2c08 : 1680;
2c09 : 1378;
2c0a : 618;
2c0b : 1241;
2c0c : 2814;
2c0d : 2017;
2c0e : 2952;
2c0f : 2227;
2c10 : 660;
2c11 : 6378;
2c12 : 10589;
2c13 : 6420;
2c14 : 1069;
2c15 : -2821;
2c16 : -1898;
2c17 : -2082;
2c18 : 862;
2c19 : 3525;
2c1a : 4969;
2c1b : 1309;
2c1c : -4476;
2c1d : -4316;
2c1e : 158;
2c1f : 2445;
2c20 : 7352;
2c21 : 9623;
2c22 : 6272;
2c23 : 3239;
2c24 : -2231;
2c25 : -6769;
2c26 : -9934;
2c27 : -9059;
2c28 : -2886;
2c29 : -470;
2c2a : -841;
2c2b : -2316;
2c2c : 171;
2c2d : 365;
2c2e : -478;
2c2f : 129;
2c30 : -2379;
2c31 : -2824;
2c32 : 2970;
2c33 : 3223;
2c34 : -1698;
2c35 : -6007;
2c36 : -6299;
2c37 : -3723;
2c38 : -3885;
2c39 : -872;
2c3a : 2411;
2c3b : 1976;
2c3c : -3723;
2c3d : -6374;
2c3e : -2891;
2c3f : -911;
2c40 : 1285;
2c41 : 6191;
2c42 : 4775;
2c43 : 2721;
2c44 : 183;
2c45 : -3670;
2c46 : -5581;
2c47 : -6815;
2c48 : -2037;
2c49 : 1955;
2c4a : 2509;
2c4b : 1944;
2c4c : 1527;
2c4d : 2545;
2c4e : 917;
2c4f : 1348;
2c50 : 564;
2c51 : -864;
2c52 : 4519;
2c53 : 9499;
2c54 : 6568;
2c55 : 3083;
2c56 : -1689;
2c57 : 220;
2c58 : 769;
2c59 : 1765;
2c5a : 4329;
2c5b : 4906;
2c5c : 1192;
2c5d : -4952;
2c5e : -4030;
2c5f : 278;
2c60 : 1852;
2c61 : 6402;
2c62 : 8976;
2c63 : 6684;
2c64 : 2957;
2c65 : -1567;
2c66 : -4154;
2c67 : -7279;
2c68 : -7997;
2c69 : -1674;
2c6a : -887;
2c6b : -951;
2c6c : -1139;
2c6d : 1078;
2c6e : 576;
2c6f : -1322;
2c70 : 172;
2c71 : -2156;
2c72 : -2089;
2c73 : 4910;
2c74 : 5398;
2c75 : 988;
2c76 : -4674;
2c77 : -7215;
2c78 : -4635;
2c79 : -4763;
2c7a : -234;
2c7b : 3075;
2c7c : 1794;
2c7d : -3390;
2c7e : -6825;
2c7f : -4050;
2c80 : -1825;
2c81 : -59;
2c82 : 4523;
2c83 : 4129;
2c84 : 1846;
2c85 : -382;
2c86 : -4500;
2c87 : -5642;
2c88 : -8153;
2c89 : -5061;
2c8a : -2030;
2c8b : -1441;
2c8c : 126;
2c8d : 785;
2c8e : 3740;
2c8f : 2294;
2c90 : 1542;
2c91 : 702;
2c92 : -1737;
2c93 : 1785;
2c94 : 7903;
2c95 : 5889;
2c96 : 2126;
2c97 : -3553;
2c98 : -2448;
2c99 : -1283;
2c9a : -53;
2c9b : 4087;
2c9c : 5691;
2c9d : 2737;
2c9e : -3303;
2c9f : -3467;
2ca0 : 1006;
2ca1 : 1600;
2ca2 : 5538;
2ca3 : 10097;
2ca4 : 7135;
2ca5 : 3873;
2ca6 : 829;
2ca7 : -1608;
2ca8 : -3111;
2ca9 : -4407;
2caa : -111;
2cab : -172;
2cac : -464;
2cad : -1313;
2cae : 197;
2caf : 1332;
2cb0 : 1090;
2cb1 : 1809;
2cb2 : -849;
2cb3 : -2303;
2cb4 : 4631;
2cb5 : 6771;
2cb6 : 2712;
2cb7 : -1566;
2cb8 : -4554;
2cb9 : -2958;
2cba : -2799;
2cbb : 225;
2cbc : 3013;
2cbd : 2147;
2cbe : -2139;
2cbf : -6851;
2cc0 : -4449;
2cc1 : -1058;
2cc2 : 1097;
2cc3 : 6990;
2cc4 : 7747;
2cc5 : 3275;
2cc6 : -451;
2cc7 : -3373;
2cc8 : -3938;
2cc9 : -6447;
2cca : -5515;
2ccb : -4671;
2ccc : -7752;
2ccd : -10267;
2cce : -9939;
2ccf : -4858;
2cd0 : -849;
2cd1 : 2480;
2cd2 : 3001;
2cd3 : -4052;
2cd4 : -4203;
2cd5 : -82;
2cd6 : 3473;
2cd7 : 957;
2cd8 : -3582;
2cd9 : -2248;
2cda : -2411;
2cdb : -2382;
2cdc : -252;
2cdd : -1020;
2cde : -1718;
2cdf : -7144;
2ce0 : -2715;
2ce1 : 2936;
2ce2 : 5080;
2ce3 : 11650;
2ce4 : 18579;
2ce5 : 14947;
2ce6 : 8724;
2ce7 : 4855;
2ce8 : 2977;
2ce9 : 978;
2cea : -1343;
2ceb : 969;
2cec : -1938;
2ced : -2442;
2cee : -2519;
2cef : 456;
2cf0 : 8491;
2cf1 : 10298;
2cf2 : 11765;
2cf3 : 6207;
2cf4 : -2876;
2cf5 : -5261;
2cf6 : -3402;
2cf7 : -3228;
2cf8 : -2136;
2cf9 : -1261;
2cfa : 890;
2cfb : 275;
2cfc : -2223;
2cfd : -2145;
2cfe : -3242;
2cff : -4062;
2d00 : -7433;
2d01 : -3829;
2d02 : -658;
2d03 : -1037;
2d04 : 1920;
2d05 : 1516;
2d06 : -3092;
2d07 : -5520;
2d08 : -9749;
2d09 : -8071;
2d0a : -7670;
2d0b : -2010;
2d0c : 4896;
2d0d : 4821;
2d0e : 867;
2d0f : -808;
2d10 : 660;
2d11 : 181;
2d12 : 84;
2d13 : -345;
2d14 : -3858;
2d15 : -1594;
2d16 : 4332;
2d17 : 2535;
2d18 : 3930;
2d19 : 5661;
2d1a : 7187;
2d1b : 9936;
2d1c : 8758;
2d1d : 2176;
2d1e : -676;
2d1f : -5383;
2d20 : -10392;
2d21 : -8933;
2d22 : -3169;
2d23 : 1112;
2d24 : 2894;
2d25 : 3952;
2d26 : 1022;
2d27 : 823;
2d28 : 233;
2d29 : 188;
2d2a : 2859;
2d2b : 488;
2d2c : 2145;
2d2d : 639;
2d2e : -4257;
2d2f : -7981;
2d30 : -9136;
2d31 : -5000;
2d32 : -381;
2d33 : 2409;
2d34 : 4172;
2d35 : 2312;
2d36 : 5471;
2d37 : 6346;
2d38 : 4050;
2d39 : 302;
2d3a : -258;
2d3b : 1994;
2d3c : -29;
2d3d : -1835;
2d3e : 645;
2d3f : -2452;
2d40 : -2763;
2d41 : -1569;
2d42 : 3760;
2d43 : 9432;
2d44 : 10592;
2d45 : 11488;
2d46 : 6152;
2d47 : -3044;
2d48 : -7355;
2d49 : -7925;
2d4a : -5315;
2d4b : -3842;
2d4c : -1702;
2d4d : -208;
2d4e : -4285;
2d4f : -5873;
2d50 : -4343;
2d51 : -2298;
2d52 : 1789;
2d53 : 3795;
2d54 : 5150;
2d55 : 951;
2d56 : -979;
2d57 : 3140;
2d58 : 353;
2d59 : -2924;
2d5a : -6444;
2d5b : -6131;
2d5c : -2081;
2d5d : 1122;
2d5e : 3091;
2d5f : 2518;
2d60 : -2239;
2d61 : -6603;
2d62 : -6654;
2d63 : -1703;
2d64 : -602;
2d65 : 777;
2d66 : 5118;
2d67 : 3065;
2d68 : 46;
2d69 : 1010;
2d6a : 1949;
2d6b : 2792;
2d6c : 1638;
2d6d : 3008;
2d6e : 1458;
2d6f : -4524;
2d70 : -8834;
2d71 : -7526;
2d72 : -3134;
2d73 : -28;
2d74 : 2821;
2d75 : 2866;
2d76 : -726;
2d77 : 2774;
2d78 : 6415;
2d79 : 6347;
2d7a : 4751;
2d7b : 3456;
2d7c : 3133;
2d7d : 3366;
2d7e : 2405;
2d7f : 2294;
2d80 : -2;
2d81 : -5144;
2d82 : -8150;
2d83 : -4424;
2d84 : 432;
2d85 : 3230;
2d86 : 6557;
2d87 : 6770;
2d88 : 1258;
2d89 : -1784;
2d8a : -2456;
2d8b : -726;
2d8c : -562;
2d8d : -2688;
2d8e : 664;
2d8f : -1238;
2d90 : -4797;
2d91 : -4506;
2d92 : 1832;
2d93 : 3826;
2d94 : 5360;
2d95 : 6788;
2d96 : 2047;
2d97 : -2949;
2d98 : -2270;
2d99 : -3728;
2d9a : -5409;
2d9b : -5558;
2d9c : -2181;
2d9d : 2350;
2d9e : 2726;
2d9f : 2594;
2da0 : 3342;
2da1 : -1365;
2da2 : -5682;
2da3 : -6676;
2da4 : -2052;
2da5 : -1382;
2da6 : 741;
2da7 : 5539;
2da8 : 3448;
2da9 : -134;
2daa : -2642;
2dab : -3676;
2dac : -2552;
2dad : -1288;
2dae : 2022;
2daf : 2816;
2db0 : -2090;
2db1 : -4105;
2db2 : -3745;
2db3 : -1785;
2db4 : 1045;
2db5 : -1287;
2db6 : -2454;
2db7 : -3785;
2db8 : -503;
2db9 : 4560;
2dba : 7290;
2dbb : 5362;
2dbc : 2007;
2dbd : 2931;
2dbe : 5257;
2dbf : 2250;
2dc0 : 1843;
2dc1 : -3307;
2dc2 : -5984;
2dc3 : -9526;
2dc4 : -5261;
2dc5 : 1618;
2dc6 : 2735;
2dc7 : 6652;
2dc8 : 8347;
2dc9 : 3986;
2dca : 1611;
2dcb : 1846;
2dcc : 2444;
2dcd : 473;
2dce : -81;
2dcf : 2520;
2dd0 : 1067;
2dd1 : -1591;
2dd2 : -3212;
2dd3 : -2291;
2dd4 : -208;
2dd5 : -72;
2dd6 : 3478;
2dd7 : 1454;
2dd8 : -897;
2dd9 : 2877;
2dda : 3251;
2ddb : -231;
2ddc : -871;
2ddd : -3365;
2dde : -2218;
2ddf : -1147;
2de0 : 94;
2de1 : 284;
2de2 : -1546;
2de3 : -3105;
2de4 : -4880;
2de5 : -237;
2de6 : 3281;
2de7 : 4619;
2de8 : 5978;
2de9 : 779;
2dea : -3548;
2deb : -6720;
2dec : -6527;
2ded : -3885;
2dee : -2692;
2def : 180;
2df0 : -180;
2df1 : -3004;
2df2 : -4956;
2df3 : -3336;
2df4 : -611;
2df5 : 795;
2df6 : 405;
2df7 : -167;
2df8 : -1946;
2df9 : 1219;
2dfa : 5836;
2dfb : 4841;
2dfc : 1903;
2dfd : -1585;
2dfe : -569;
2dff : 3646;
2e00 : 1057;
2e01 : 2330;
2e02 : 1611;
2e03 : -3943;
2e04 : -6840;
2e05 : -3303;
2e06 : -1398;
2e07 : -676;
2e08 : 5052;
2e09 : 8497;
2e0a : 4777;
2e0b : 5820;
2e0c : 5678;
2e0d : 4005;
2e0e : 3803;
2e0f : 2890;
2e10 : 2631;
2e11 : -1818;
2e12 : -8006;
2e13 : -8921;
2e14 : -6693;
2e15 : -2103;
2e16 : 700;
2e17 : 3167;
2e18 : -181;
2e19 : -2647;
2e1a : 1502;
2e1b : 3601;
2e1c : 4523;
2e1d : 2572;
2e1e : -1336;
2e1f : -1205;
2e20 : -561;
2e21 : -34;
2e22 : 2688;
2e23 : 1060;
2e24 : -2952;
2e25 : -5361;
2e26 : -3508;
2e27 : 1050;
2e28 : 1909;
2e29 : 5440;
2e2a : 3710;
2e2b : -1012;
2e2c : -3994;
2e2d : -3214;
2e2e : -709;
2e2f : -3273;
2e30 : -745;
2e31 : 1991;
2e32 : -2430;
2e33 : -3590;
2e34 : -1575;
2e35 : 1258;
2e36 : 2505;
2e37 : 3768;
2e38 : 3903;
2e39 : -1944;
2e3a : -4052;
2e3b : -2040;
2e3c : -1758;
2e3d : -2836;
2e3e : -2879;
2e3f : -1267;
2e40 : 1457;
2e41 : 389;
2e42 : 2504;
2e43 : 2994;
2e44 : 1246;
2e45 : -254;
2e46 : -1554;
2e47 : -1080;
2e48 : -2045;
2e49 : 639;
2e4a : 5924;
2e4b : 4182;
2e4c : 1790;
2e4d : -159;
2e4e : -2006;
2e4f : -1021;
2e50 : 651;
2e51 : 2870;
2e52 : 2068;
2e53 : -978;
2e54 : -3923;
2e55 : -4084;
2e56 : 485;
2e57 : -685;
2e58 : -1274;
2e59 : -2181;
2e5a : -5177;
2e5b : -1778;
2e5c : 4084;
2e5d : 6157;
2e5e : 6223;
2e5f : 4805;
2e60 : 6287;
2e61 : 4981;
2e62 : 1187;
2e63 : -159;
2e64 : -4292;
2e65 : -6922;
2e66 : -7734;
2e67 : -2225;
2e68 : 1621;
2e69 : 58;
2e6a : 3385;
2e6b : 4129;
2e6c : 1273;
2e6d : 2813;
2e6e : 3358;
2e6f : 1325;
2e70 : -1410;
2e71 : -2158;
2e72 : -188;
2e73 : -1944;
2e74 : -3424;
2e75 : -3296;
2e76 : -3544;
2e77 : -2784;
2e78 : 238;
2e79 : 2523;
2e7a : 62;
2e7b : 1164;
2e7c : 2409;
2e7d : 498;
2e7e : -357;
2e7f : -1939;
2e80 : -5973;
2e81 : -1902;
2e82 : -1614;
2e83 : -1292;
2e84 : 284;
2e85 : -339;
2e86 : -2799;
2e87 : -1967;
2e88 : 1435;
2e89 : 2789;
2e8a : 5249;
2e8b : 7082;
2e8c : 2888;
2e8d : -1135;
2e8e : -3196;
2e8f : -3540;
2e90 : -2302;
2e91 : -2828;
2e92 : -2326;
2e93 : -1705;
2e94 : -3852;
2e95 : -904;
2e96 : 2918;
2e97 : 4064;
2e98 : 2445;
2e99 : 1423;
2e9a : -1145;
2e9b : -2321;
2e9c : 1384;
2e9d : 5769;
2e9e : 4919;
2e9f : 1373;
2ea0 : -1937;
2ea1 : 245;
2ea2 : 1180;
2ea3 : 1334;
2ea4 : 3526;
2ea5 : 1375;
2ea6 : -1733;
2ea7 : -1471;
2ea8 : -1459;
2ea9 : -733;
2eaa : -253;
2eab : 1272;
2eac : 920;
2ead : 43;
2eae : 362;
2eaf : 1704;
2eb0 : 3323;
2eb1 : 3690;
2eb2 : 3688;
2eb3 : 3933;
2eb4 : -359;
2eb5 : -4620;
2eb6 : -5230;
2eb7 : -4208;
2eb8 : -1234;
2eb9 : 614;
2eba : -1123;
2ebb : -5416;
2ebc : -7166;
2ebd : -2057;
2ebe : 3190;
2ebf : 4461;
2ec0 : 2492;
2ec1 : 124;
2ec2 : 2025;
2ec3 : 1177;
2ec4 : 2112;
2ec5 : 2860;
2ec6 : -333;
2ec7 : -5085;
2ec8 : -6953;
2ec9 : -3634;
2eca : -2510;
2ecb : 26;
2ecc : 5417;
2ecd : 2975;
2ece : -1807;
2ecf : -1440;
2ed0 : -997;
2ed1 : -2930;
2ed2 : -2331;
2ed3 : 534;
2ed4 : -119;
2ed5 : -1608;
2ed6 : -974;
2ed7 : -38;
2ed8 : 831;
2ed9 : 1234;
2eda : 3260;
2edb : 2297;
2edc : -906;
2edd : -190;
2ede : 2315;
2edf : 1147;
2ee0 : -61;
2ee1 : -1016;
2ee2 : 288;
2ee3 : 1077;
2ee4 : 275;
2ee5 : 1156;
2ee6 : 3134;
2ee7 : 1625;
2ee8 : -66;
2ee9 : -675;
2eea : -1203;
2eeb : -2309;
2eec : 1304;
2eed : 4453;
2eee : 3641;
2eef : 2206;
2ef0 : 745;
2ef1 : 468;
2ef2 : -216;
2ef3 : -805;
2ef4 : 840;
2ef5 : -460;
2ef6 : -3910;
2ef7 : -3985;
2ef8 : -119;
2ef9 : -692;
2efa : -1406;
2efb : 215;
2efc : -3730;
2efd : -5148;
2efe : 823;
2eff : 4571;
2f00 : 4344;
2f01 : 2082;
2f02 : 702;
2f03 : 3265;
2f04 : 2339;
2f05 : 794;
2f06 : 580;
2f07 : -2532;
2f08 : -6059;
2f09 : -5286;
2f0a : -892;
2f0b : 115;
2f0c : 787;
2f0d : 3369;
2f0e : 1856;
2f0f : -6;
2f10 : -16;
2f11 : -626;
2f12 : -2151;
2f13 : -3113;
2f14 : -1336;
2f15 : 431;
2f16 : -1323;
2f17 : -1862;
2f18 : -2101;
2f19 : -1910;
2f1a : 6;
2f1b : 1936;
2f1c : 1558;
2f1d : 612;
2f1e : 538;
2f1f : 1655;
2f20 : 2203;
2f21 : 1162;
2f22 : -3712;
2f23 : -2794;
2f24 : -93;
2f25 : -1234;
2f26 : 1835;
2f27 : 4366;
2f28 : 2554;
2f29 : -494;
2f2a : -762;
2f2b : 1054;
2f2c : 1363;
2f2d : 3983;
2f2e : 5971;
2f2f : 2337;
2f30 : -2034;
2f31 : -3340;
2f32 : -2850;
2f33 : -2553;
2f34 : -2364;
2f35 : -100;
2f36 : -1259;
2f37 : -1517;
2f38 : 2001;
2f39 : 3192;
2f3a : 1885;
2f3b : 17;
2f3c : -1871;
2f3d : -4156;
2f3e : -4841;
2f3f : -178;
2f40 : 4454;
2f41 : 4159;
2f42 : 2721;
2f43 : 1400;
2f44 : 2768;
2f45 : 2633;
2f46 : 2504;
2f47 : 1408;
2f48 : -1165;
2f49 : -2162;
2f4a : -4438;
2f4b : -4449;
2f4c : -3303;
2f4d : -4175;
2f4e : -614;
2f4f : 2030;
2f50 : 2052;
2f51 : 2258;
2f52 : 2253;
2f53 : 2972;
2f54 : 2973;
2f55 : 3020;
2f56 : 2854;
2f57 : -1822;
2f58 : -5257;
2f59 : -6238;
2f5a : -4577;
2f5b : -2428;
2f5c : -368;
2f5d : -430;
2f5e : -3889;
2f5f : -4931;
2f60 : 566;
2f61 : 3331;
2f62 : 3226;
2f63 : 792;
2f64 : -184;
2f65 : 1737;
2f66 : 1340;
2f67 : 1950;
2f68 : 3243;
2f69 : 89;
2f6a : -3432;
2f6b : -3106;
2f6c : -797;
2f6d : -859;
2f6e : 3293;
2f6f : 6323;
2f70 : 2093;
2f71 : -366;
2f72 : -931;
2f73 : -3093;
2f74 : -2204;
2f75 : -1414;
2f76 : -164;
2f77 : -105;
2f78 : -670;
2f79 : 639;
2f7a : 1068;
2f7b : 1169;
2f7c : 2155;
2f7d : 3235;
2f7e : 1800;
2f7f : -916;
2f80 : 35;
2f81 : 1423;
2f82 : 130;
2f83 : -605;
2f84 : -2151;
2f85 : -122;
2f86 : 606;
2f87 : -1422;
2f88 : 415;
2f89 : 2380;
2f8a : 1039;
2f8b : -679;
2f8c : -1368;
2f8d : -2265;
2f8e : -2122;
2f8f : 1925;
2f90 : 4555;
2f91 : 3713;
2f92 : 764;
2f93 : -93;
2f94 : 130;
2f95 : -691;
2f96 : -93;
2f97 : 2678;
2f98 : -1452;
2f99 : -4081;
2f9a : -1394;
2f9b : -1190;
2f9c : -1850;
2f9d : 124;
2f9e : -1422;
2f9f : -5270;
2fa0 : -6274;
2fa1 : -737;
2fa2 : 1688;
2fa3 : 1763;
2fa4 : 683;
2fa5 : 1338;
2fa6 : 3672;
2fa7 : 3355;
2fa8 : 1551;
2fa9 : 623;
2faa : -2657;
2fab : -4613;
2fac : -3686;
2fad : -1119;
2fae : -818;
2faf : 615;
2fb0 : 2592;
2fb1 : 3351;
2fb2 : 1923;
2fb3 : 1311;
2fb4 : 420;
2fb5 : -670;
2fb6 : -1156;
2fb7 : 1615;
2fb8 : 1925;
2fb9 : 1128;
2fba : 526;
2fbb : -362;
2fbc : -474;
2fbd : 608;
2fbe : 1005;
2fbf : 1814;
2fc0 : -569;
2fc1 : -2023;
2fc2 : 815;
2fc3 : 1809;
2fc4 : -1285;
2fc5 : -2563;
2fc6 : -526;
2fc7 : 560;
2fc8 : -598;
2fc9 : 1666;
2fca : 2913;
2fcb : 479;
2fcc : -1475;
2fcd : -1242;
2fce : -669;
2fcf : 253;
2fd0 : 3145;
2fd1 : 4586;
2fd2 : 2138;
2fd3 : -272;
2fd4 : -850;
2fd5 : -993;
2fd6 : -1217;
2fd7 : -1209;
2fd8 : -877;
2fd9 : -2334;
2fda : -2420;
2fdb : -735;
2fdc : 418;
2fdd : -495;
2fde : -1320;
2fdf : -1575;
2fe0 : -3185;
2fe1 : -3499;
2fe2 : 1651;
2fe3 : 3365;
2fe4 : 2925;
2fe5 : 1523;
2fe6 : 359;
2fe7 : 2272;
2fe8 : 3613;
2fe9 : 662;
2fea : -783;
2feb : -1009;
2fec : -3929;
2fed : -5133;
2fee : -2553;
2fef : -2354;
2ff0 : -2243;
2ff1 : 116;
2ff2 : 1869;
2ff3 : 573;
2ff4 : -9;
2ff5 : 562;
2ff6 : 1739;
2ff7 : 2632;
2ff8 : 4565;
2ff9 : 3398;
2ffa : -682;
2ffb : -3144;
2ffc : -3262;
2ffd : -2314;
2ffe : -679;
2fff : 1182;
3000 : -212;
3001 : -4371;
3002 : -2901;
3003 : 1096;
3004 : 2843;
3005 : 2439;
3006 : -99;
3007 : 604;
3008 : 2592;
3009 : 1675;
300a : 3258;
300b : 3257;
300c : 97;
300d : -2280;
300e : -1772;
300f : -1305;
3010 : 232;
3011 : 3565;
3012 : 3305;
3013 : 1919;
3014 : -90;
3015 : -2654;
3016 : -2746;
3017 : -1605;
3018 : -1802;
3019 : -504;
301a : -791;
301b : -1393;
301c : -1265;
301d : 6;
301e : 289;
301f : 2004;
3020 : 3440;
3021 : 1605;
3022 : -1735;
3023 : -592;
3024 : 259;
3025 : 88;
3026 : -1224;
3027 : 154;
3028 : 4126;
3029 : 2438;
302a : -559;
302b : -43;
302c : -1639;
302d : -5651;
302e : -8326;
302f : -6898;
3030 : -3278;
3031 : 2160;
3032 : 8289;
3033 : 10108;
3034 : 4633;
3035 : -180;
3036 : -802;
3037 : -372;
3038 : 374;
3039 : 4724;
303a : 4404;
303b : -2267;
303c : -5447;
303d : -5981;
303e : -6493;
303f : -4272;
3040 : -1655;
3041 : -2999;
3042 : -5088;
3043 : 18;
3044 : 5665;
3045 : 6108;
3046 : 6056;
3047 : 3837;
3048 : 2711;
3049 : 1706;
304a : -3956;
304b : -5687;
304c : -4219;
304d : -1981;
304e : 747;
304f : 3224;
3050 : 2843;
3051 : 833;
3052 : 728;
3053 : 3122;
3054 : 2772;
3055 : 3986;
3056 : 3378;
3057 : -400;
3058 : -1708;
3059 : -3628;
305a : -2805;
305b : -2658;
305c : -4360;
305d : -4391;
305e : 1753;
305f : 5395;
3060 : 6350;
3061 : 11086;
3062 : 8647;
3063 : -2443;
3064 : -5330;
3065 : -6022;
3066 : -9396;
3067 : -8849;
3068 : -3066;
3069 : 2503;
306a : 3227;
306b : 1905;
306c : 1379;
306d : 333;
306e : -850;
306f : -2528;
3070 : 2097;
3071 : 4093;
3072 : 3857;
3073 : 6773;
3074 : 5634;
3075 : -2001;
3076 : -7108;
3077 : -8485;
3078 : -7534;
3079 : -2733;
307a : 3133;
307b : 4891;
307c : 5326;
307d : 3609;
307e : 2344;
307f : -1808;
3080 : -6189;
3081 : -8044;
3082 : -8044;
3083 : -7764;
3084 : -2374;
3085 : 3367;
3086 : 5358;
3087 : 3519;
3088 : -169;
3089 : 1820;
308a : 6131;
308b : 5266;
308c : 4321;
308d : 4207;
308e : 2258;
308f : -1896;
3090 : -2834;
3091 : -5513;
3092 : -8057;
3093 : -7439;
3094 : -1566;
3095 : 3585;
3096 : 4652;
3097 : 6303;
3098 : 6684;
3099 : 5259;
309a : 4055;
309b : 2135;
309c : -2121;
309d : -6966;
309e : -5505;
309f : -2355;
30a0 : -346;
30a1 : 1937;
30a2 : 1543;
30a3 : -3050;
30a4 : -4933;
30a5 : -1756;
30a6 : 3301;
30a7 : 5696;
30a8 : 2655;
30a9 : 1533;
30aa : 1418;
30ab : -462;
30ac : -2457;
30ad : -2692;
30ae : -4088;
30af : -2533;
30b0 : 1650;
30b1 : 1760;
30b2 : 4664;
30b3 : 7214;
30b4 : 4108;
30b5 : 2720;
30b6 : -1479;
30b7 : -6857;
30b8 : -8931;
30b9 : -4965;
30ba : -1767;
30bb : 1796;
30bc : 3138;
30bd : 294;
30be : -2930;
30bf : -1731;
30c0 : -1169;
30c1 : 1539;
30c2 : 4946;
30c3 : 4549;
30c4 : 1263;
30c5 : -618;
30c6 : -887;
30c7 : -1308;
30c8 : -4037;
30c9 : -4861;
30ca : -3102;
30cb : 2911;
30cc : 2066;
30cd : 1872;
30ce : 4441;
30cf : 3934;
30d0 : 1310;
30d1 : -1360;
30d2 : -4796;
30d3 : -7826;
30d4 : -5116;
30d5 : 1486;
30d6 : 4198;
30d7 : 3618;
30d8 : 2358;
30d9 : -488;
30da : -538;
30db : 2434;
30dc : 2936;
30dd : 3232;
30de : 1344;
30df : 603;
30e0 : 1323;
30e1 : 1340;
30e2 : 455;
30e3 : -1927;
30e4 : -5421;
30e5 : -8555;
30e6 : -3403;
30e7 : 1694;
30e8 : 2307;
30e9 : 3642;
30ea : 2502;
30eb : 4323;
30ec : 3483;
30ed : -1025;
30ee : -4263;
30ef : -3620;
30f0 : -1617;
30f1 : 651;
30f2 : 3496;
30f3 : 1831;
30f4 : -788;
30f5 : -1674;
30f6 : -915;
30f7 : -1550;
30f8 : 42;
30f9 : 275;
30fa : 727;
30fb : 1740;
30fc : 1412;
30fd : 1525;
30fe : -1059;
30ff : -4229;
3100 : -3358;
3101 : 317;
3102 : 2199;
3103 : 3437;
3104 : 6267;
3105 : 647;
3106 : -1538;
3107 : -1115;
3108 : -2654;
3109 : -5104;
310a : -4490;
310b : -2172;
310c : 1664;
310d : 1886;
310e : 622;
310f : 517;
3110 : -458;
3111 : -2900;
3112 : -3620;
3113 : -1521;
3114 : 978;
3115 : 2137;
3116 : 4953;
3117 : 4887;
3118 : 1816;
3119 : -1950;
311a : -2896;
311b : -2690;
311c : -166;
311d : 4071;
311e : 2546;
311f : 860;
3120 : 93;
3121 : 70;
3122 : -858;
3123 : -2966;
3124 : -4262;
3125 : -5091;
3126 : -3137;
3127 : 339;
3128 : 4540;
3129 : 5291;
312a : 2343;
312b : 252;
312c : 3047;
312d : 2546;
312e : 406;
312f : 1991;
3130 : 1766;
3131 : 344;
3132 : 288;
3133 : 264;
3134 : -2031;
3135 : -3266;
3136 : -3971;
3137 : -790;
3138 : 3283;
3139 : 1293;
313a : 694;
313b : 1241;
313c : 1371;
313d : 2220;
313e : 1232;
313f : -1259;
3140 : -3799;
3141 : -1095;
3142 : 391;
3143 : 2315;
3144 : 1420;
3145 : -394;
3146 : -4415;
3147 : -5612;
3148 : -3744;
3149 : -305;
314a : 1184;
314b : -365;
314c : -579;
314d : 1678;
314e : 1711;
314f : 1481;
3150 : 1236;
3151 : 1247;
3152 : -779;
3153 : 1239;
3154 : 1117;
3155 : 1538;
3156 : 494;
3157 : 146;
3158 : 135;
3159 : -3227;
315a : -4996;
315b : -4157;
315c : -1593;
315d : 658;
315e : 1988;
315f : 719;
3160 : -2948;
3161 : -3149;
3162 : -1352;
3163 : 795;
3164 : 1973;
3165 : 4930;
3166 : 2270;
3167 : -1615;
3168 : -1735;
3169 : 701;
316a : 899;
316b : 644;
316c : -349;
316d : -573;
316e : 3083;
316f : 1368;
3170 : 668;
3171 : 3698;
3172 : 1838;
3173 : 81;
3174 : -915;
3175 : -2747;
3176 : -4250;
3177 : -824;
3178 : 2650;
3179 : 4184;
317a : 2649;
317b : -2085;
317c : -2931;
317d : 45;
317e : 1802;
317f : 1745;
3180 : 2603;
3181 : -860;
3182 : -2716;
3183 : -224;
3184 : 830;
3185 : 783;
3186 : 821;
3187 : -3267;
3188 : -7008;
3189 : -3017;
318a : 979;
318b : 558;
318c : 2085;
318d : 1512;
318e : 2976;
318f : 863;
3190 : -1310;
3191 : -1822;
3192 : -907;
3193 : -235;
3194 : 2922;
3195 : 2709;
3196 : -453;
3197 : -1878;
3198 : -1327;
3199 : 582;
319a : 102;
319b : -362;
319c : -840;
319d : -2319;
319e : -1709;
319f : 499;
31a0 : 1730;
31a1 : -1230;
31a2 : -534;
31a3 : -1319;
31a4 : -841;
31a5 : 2309;
31a6 : 4539;
31a7 : 3136;
31a8 : -1388;
31a9 : -2558;
31aa : -2593;
31ab : -1988;
31ac : -1893;
31ad : -1858;
31ae : 984;
31af : 2878;
31b0 : 2028;
31b1 : -141;
31b2 : 783;
31b3 : -350;
31b4 : 169;
31b5 : 1090;
31b6 : 1202;
31b7 : 726;
31b8 : 543;
31b9 : 2610;
31ba : 3353;
31bb : 1054;
31bc : -660;
31bd : -770;
31be : -1387;
31bf : -170;
31c0 : 2745;
31c1 : 1085;
31c2 : 678;
31c3 : 473;
31c4 : -406;
31c5 : -2050;
31c6 : -3890;
31c7 : -4682;
31c8 : -5415;
31c9 : -5168;
31ca : -1421;
31cb : 3614;
31cc : 2116;
31cd : 614;
31ce : 1052;
31cf : 3036;
31d0 : 2552;
31d1 : 2570;
31d2 : 2368;
31d3 : 864;
31d4 : 298;
31d5 : 756;
31d6 : -71;
31d7 : -2455;
31d8 : -3873;
31d9 : -3886;
31da : -1635;
31db : 1827;
31dc : -100;
31dd : 660;
31de : 1470;
31df : 2415;
31e0 : 2674;
31e1 : 1567;
31e2 : -1248;
31e3 : -3401;
31e4 : -2553;
31e5 : -556;
31e6 : 266;
31e7 : 706;
31e8 : -669;
31e9 : -4176;
31ea : -4613;
31eb : -2184;
31ec : 435;
31ed : 1584;
31ee : -288;
31ef : -645;
31f0 : 1063;
31f1 : 1380;
31f2 : -343;
31f3 : 1698;
31f4 : 1189;
31f5 : -840;
31f6 : 2452;
31f7 : 2673;
31f8 : 1720;
31f9 : 2447;
31fa : 3184;
31fb : 2948;
31fc : -262;
31fd : -2315;
31fe : -3859;
31ff : -3007;
3200 : -1378;
3201 : 403;
3202 : 162;
3203 : -40;
3204 : -38;
3205 : 580;
3206 : 603;
3207 : 1639;
3208 : 3417;
3209 : 221;
320a : -843;
320b : -648;
320c : 605;
320d : 1195;
320e : -263;
320f : -1638;
3210 : -1480;
3211 : 668;
3212 : -141;
3213 : 706;
3214 : 3246;
3215 : 2011;
3216 : 2078;
3217 : -505;
3218 : -3104;
3219 : -3878;
321a : -3012;
321b : 337;
321c : 3735;
321d : 588;
321e : -705;
321f : -848;
3220 : -2;
3221 : -555;
3222 : 688;
3223 : 282;
3224 : -1365;
3225 : -280;
3226 : 1414;
3227 : 1171;
3228 : 2560;
3229 : -171;
322a : -3822;
322b : -6047;
322c : -3543;
322d : -1228;
322e : -602;
322f : 369;
3230 : 1362;
3231 : 3472;
3232 : 2630;
3233 : 255;
3234 : -1415;
3235 : -2246;
3236 : -1702;
3237 : -71;
3238 : -301;
3239 : -746;
323a : -697;
323b : -117;
323c : 2163;
323d : 1055;
323e : 683;
323f : -580;
3240 : -1273;
3241 : 419;
3242 : 2255;
3243 : 2814;
3244 : 2144;
3245 : 542;
3246 : -2234;
3247 : -2166;
3248 : 515;
3249 : 2176;
324a : 2355;
324b : 1693;
324c : 1070;
324d : 1219;
324e : 1475;
324f : -766;
3250 : -1866;
3251 : -804;
3252 : 334;
3253 : -806;
3254 : -484;
3255 : 73;
3256 : -1741;
3257 : -1743;
3258 : -1695;
3259 : -1014;
325a : -627;
325b : 1880;
325c : 4735;
325d : 5524;
325e : 3986;
325f : 1326;
3260 : -2747;
3261 : -4773;
3262 : -4971;
3263 : -2442;
3264 : -2066;
3265 : 1116;
3266 : 2424;
3267 : 3421;
3268 : 1206;
3269 : 649;
326a : -1074;
326b : -4490;
326c : -4914;
326d : -2058;
326e : -988;
326f : -863;
3270 : -1317;
3271 : -700;
3272 : 384;
3273 : 1418;
3274 : 1400;
3275 : 2255;
3276 : 2161;
3277 : 2920;
3278 : 2440;
3279 : 1929;
327a : -646;
327b : -3429;
327c : -4197;
327d : -2185;
327e : -1614;
327f : -2003;
3280 : -986;
3281 : 420;
3282 : 1180;
3283 : 2751;
3284 : 3387;
3285 : 1692;
3286 : 1070;
3287 : 1623;
3288 : 1076;
3289 : 916;
328a : 1701;
328b : -1025;
328c : -4648;
328d : -4652;
328e : -3577;
328f : -358;
3290 : 344;
3291 : -78;
3292 : 2916;
3293 : 4553;
3294 : 3894;
3295 : 4539;
3296 : 3356;
3297 : -740;
3298 : -2631;
3299 : -1849;
329a : -2749;
329b : -1729;
329c : 692;
329d : 2451;
329e : 4429;
329f : 3793;
32a0 : 1972;
32a1 : -556;
32a2 : -1718;
32a3 : -2948;
32a4 : -2331;
32a5 : -2460;
32a6 : -2293;
32a7 : -2233;
32a8 : -2194;
32a9 : -2828;
32aa : 537;
32ab : 2309;
32ac : 1446;
32ad : 865;
32ae : 931;
32af : 2078;
32b0 : 1992;
32b1 : -919;
32b2 : -1901;
32b3 : -1573;
32b4 : -1390;
32b5 : -1821;
32b6 : 195;
32b7 : 486;
32b8 : 732;
32b9 : 637;
32ba : 271;
32bb : -547;
32bc : -1319;
32bd : -283;
32be : 2916;
32bf : 2378;
32c0 : 365;
32c1 : -1062;
32c2 : -2966;
32c3 : -3960;
32c4 : -3360;
32c5 : -2225;
32c6 : -1284;
32c7 : 1067;
32c8 : 3738;
32c9 : 3456;
32ca : 3805;
32cb : 4241;
32cc : -401;
32cd : -4574;
32ce : -5918;
32cf : -4189;
32d0 : -2052;
32d1 : -886;
32d2 : 658;
32d3 : 1733;
32d4 : 3900;
32d5 : 4254;
32d6 : 3062;
32d7 : 1599;
32d8 : 334;
32d9 : -60;
32da : 165;
32db : 390;
32dc : -89;
32dd : -1096;
32de : -244;
32df : 782;
32e0 : 616;
32e1 : 1545;
32e2 : -2;
32e3 : 937;
32e4 : 904;
32e5 : 931;
32e6 : 1209;
32e7 : 180;
32e8 : -1572;
32e9 : -2674;
32ea : -2360;
32eb : 251;
32ec : 2299;
32ed : 1278;
32ee : -1404;
32ef : -1249;
32f0 : 383;
32f1 : 1059;
32f2 : -330;
32f3 : 341;
32f4 : 329;
32f5 : 577;
32f6 : 131;
32f7 : 348;
32f8 : -1292;
32f9 : -3059;
32fa : -3254;
32fb : -3088;
32fc : -1823;
32fd : 1349;
32fe : 2821;
32ff : 4381;
3300 : 3886;
3301 : 2473;
3302 : -657;
3303 : -2576;
3304 : -3452;
3305 : -2851;
3306 : -1123;
3307 : -550;
3308 : 783;
3309 : 1457;
330a : 51;
330b : -409;
330c : 1704;
330d : -931;
330e : -3353;
330f : -2511;
3310 : -1804;
3311 : -430;
3312 : -189;
3313 : -1257;
3314 : -1195;
3315 : 553;
3316 : 267;
3317 : 947;
3318 : 2971;
3319 : 2843;
331a : 2942;
331b : 2681;
331c : 2050;
331d : -359;
331e : -1445;
331f : -2406;
3320 : -507;
3321 : -428;
3322 : -806;
3323 : -567;
3324 : -1153;
3325 : -603;
3326 : 1261;
3327 : 647;
3328 : -206;
3329 : -329;
332a : -550;
332b : -1897;
332c : 776;
332d : 1603;
332e : -1372;
332f : -3713;
3330 : -4179;
3331 : -3116;
3332 : -525;
3333 : -260;
3334 : 950;
3335 : 2036;
3336 : 1644;
3337 : 2312;
3338 : 3672;
3339 : 2315;
333a : 640;
333b : 470;
333c : 1608;
333d : 1217;
333e : 2357;
333f : 976;
3340 : 2170;
3341 : 3316;
3342 : 2645;
3343 : 2003;
3344 : 1322;
3345 : -721;
3346 : -1733;
3347 : -1207;
3348 : -1126;
3349 : -957;
334a : -1054;
334b : -1357;
334c : -1445;
334d : 1483;
334e : 4726;
334f : 3307;
3350 : 1980;
3351 : 536;
3352 : 1855;
3353 : 344;
3354 : -657;
3355 : 250;
3356 : 526;
3357 : 1202;
3358 : 1365;
3359 : 2735;
335a : 2623;
335b : 664;
335c : 326;
335d : 511;
335e : -894;
335f : -1822;
3360 : -731;
3361 : 1294;
3362 : 1356;
3363 : 1339;
3364 : 434;
3365 : -1143;
3366 : -1851;
3367 : -2020;
3368 : -3014;
3369 : -814;
336a : 549;
336b : 1619;
336c : 556;
336d : 1227;
336e : 1072;
336f : -2103;
3370 : -5613;
3371 : -7495;
3372 : -6478;
3373 : -5146;
3374 : -3638;
3375 : -1422;
3376 : -460;
3377 : 193;
3378 : -1907;
3379 : -2059;
337a : -1173;
337b : -2112;
337c : -4955;
337d : -3431;
337e : -6522;
337f : -13672;
3380 : -16310;
3381 : -14575;
3382 : -8949;
3383 : -3862;
3384 : -865;
3385 : -553;
3386 : -4131;
3387 : -8841;
3388 : -7413;
3389 : -2754;
338a : -3118;
338b : 2792;
338c : -6056;
338d : 2048;
338e : 7178;
338f : 7405;
3390 : 4115;
3391 : 842;
3392 : 2950;
3393 : 7219;
3394 : 9673;
3395 : 13171;
3396 : 15116;
3397 : 14211;
3398 : 10720;
3399 : 6947;
339a : 6518;
339b : 6530;
339c : 6041;
339d : 7371;
339e : 6218;
339f : 7977;
33a0 : 9490;
33a1 : 9546;
33a2 : 11993;
33a3 : 12979;
33a4 : 13399;
33a5 : 12516;
33a6 : 13003;
33a7 : 10787;
33a8 : 11521;
33a9 : 8597;
33aa : 2117;
33ab : -1644;
33ac : 756;
33ad : -131;
33ae : 6982;
33af : 11386;
33b0 : 9288;
33b1 : 7557;
33b2 : 78;
33b3 : -4037;
33b4 : -7141;
33b5 : -15099;
33b6 : -14879;
33b7 : -16423;
33b8 : -13555;
33b9 : -11472;
33ba : -7546;
33bb : -4044;
33bc : -6632;
33bd : -4058;
33be : -2035;
33bf : -1899;
33c0 : -2940;
33c1 : -5660;
33c2 : -8230;
33c3 : -10593;
33c4 : -13061;
33c5 : -14684;
33c6 : -18159;
33c7 : -19659;
33c8 : -14977;
33c9 : -11127;
33ca : -11205;
33cb : -8579;
33cc : -7327;
33cd : -8159;
33ce : -7922;
33cf : -8665;
33d0 : -8490;
33d1 : -10481;
33d2 : -11593;
33d3 : -11300;
33d4 : -10232;
33d5 : -10706;
33d6 : -6677;
33d7 : -3420;
33d8 : -2064;
33d9 : -201;
33da : 2913;
33db : 4108;
33dc : 5233;
33dd : 2403;
33de : 2667;
33df : 3603;
33e0 : -963;
33e1 : -2371;
33e2 : -2158;
33e3 : 115;
33e4 : 5739;
33e5 : 11442;
33e6 : 18699;
33e7 : 18496;
33e8 : 10109;
33e9 : 7231;
33ea : 2956;
33eb : -1188;
33ec : -2745;
33ed : -3954;
33ee : -2518;
33ef : 1230;
33f0 : 9027;
33f1 : 15646;
33f2 : 12751;
33f3 : 13600;
33f4 : 12404;
33f5 : 13897;
33f6 : 15051;
33f7 : 15517;
33f8 : 15322;
33f9 : 14255;
33fa : 12906;
33fb : 13319;
33fc : 10816;
33fd : 7101;
33fe : 8085;
33ff : 12328;
3400 : 10705;
3401 : 10603;
3402 : 10744;
3403 : 13020;
3404 : 14519;
3405 : 10613;
3406 : 8092;
3407 : 5267;
3408 : 2044;
3409 : 1133;
340a : -1800;
340b : -1621;
340c : 853;
340d : 4374;
340e : 5710;
340f : 3569;
3410 : 5388;
3411 : 4273;
3412 : 237;
3413 : -2457;
3414 : -5838;
3415 : -5307;
3416 : -8799;
3417 : -11394;
3418 : -11256;
3419 : -11048;
341a : -9850;
341b : -5415;
341c : 661;
341d : 1967;
341e : -4849;
341f : -7857;
3420 : -10100;
3421 : -15045;
3422 : -18331;
3423 : -20271;
3424 : -15529;
3425 : -10096;
3426 : -5404;
3427 : -1974;
3428 : -3628;
3429 : -6382;
342a : -9145;
342b : -8290;
342c : -5644;
342d : -4704;
342e : -2647;
342f : -5019;
3430 : -3777;
3431 : -559;
3432 : -3801;
3433 : -9326;
3434 : -8720;
3435 : -6707;
3436 : -4993;
3437 : -2016;
3438 : -329;
3439 : 472;
343a : 617;
343b : -2849;
343c : -4540;
343d : -5848;
343e : -5228;
343f : -3287;
3440 : -878;
3441 : 2177;
3442 : 3785;
3443 : 4770;
3444 : 4079;
3445 : 3619;
3446 : 2566;
3447 : 549;
3448 : 869;
3449 : 1154;
344a : 1215;
344b : 2082;
344c : -294;
344d : -897;
344e : 137;
344f : -1203;
3450 : -164;
3451 : 3627;
3452 : 9731;
3453 : 10743;
3454 : 4574;
3455 : -1242;
3456 : -2503;
3457 : -5541;
3458 : -8243;
3459 : -8530;
345a : -5403;
345b : -3379;
345c : 2727;
345d : 6789;
345e : 6928;
345f : 6152;
3460 : 3942;
3461 : 3447;
3462 : 2884;
3463 : 1251;
3464 : 2160;
3465 : 1876;
3466 : 1496;
3467 : 2217;
3468 : 700;
3469 : -2262;
346a : -1496;
346b : 794;
346c : 707;
346d : 1758;
346e : 1708;
346f : 621;
3470 : 609;
3471 : -1662;
3472 : -808;
3473 : -1316;
3474 : -3296;
3475 : -1697;
3476 : 417;
3477 : 3040;
3478 : 2916;
3479 : 4278;
347a : 1631;
347b : 214;
347c : -37;
347d : -427;
347e : -27;
347f : 478;
3480 : -1528;
3481 : 1103;
3482 : -762;
3483 : -3256;
3484 : -4868;
3485 : -4736;
3486 : -2750;
3487 : 880;
3488 : 5856;
3489 : 9542;
348a : 4127;
348b : -3083;
348c : -6889;
348d : -10681;
348e : -11812;
348f : -10868;
3490 : -9277;
3491 : -8732;
3492 : -1115;
3493 : 4580;
3494 : 4257;
3495 : 1874;
3496 : -2240;
3497 : -3423;
3498 : -2361;
3499 : -2619;
349a : -2097;
349b : -709;
349c : -1419;
349d : 1174;
349e : 3521;
349f : 1923;
34a0 : 1747;
34a1 : 5276;
34a2 : 5061;
34a3 : 4106;
34a4 : 3282;
34a5 : 2895;
34a6 : 4295;
34a7 : 4690;
34a8 : 2944;
34a9 : 1391;
34aa : 1380;
34ab : 1131;
34ac : 262;
34ad : 2255;
34ae : 1709;
34af : 4522;
34b0 : 6964;
34b1 : 5370;
34b2 : 4672;
34b3 : 3577;
34b4 : 2027;
34b5 : 487;
34b6 : -1237;
34b7 : -149;
34b8 : -199;
34b9 : -890;
34ba : -1261;
34bb : 84;
34bc : 478;
34bd : 616;
34be : 5818;
34bf : 8837;
34c0 : 6397;
34c1 : 1823;
34c2 : -346;
34c3 : -3860;
34c4 : -5605;
34c5 : -8165;
34c6 : -6433;
34c7 : -3544;
34c8 : 1846;
34c9 : 3654;
34ca : 5116;
34cb : 1862;
34cc : -3650;
34cd : -5046;
34ce : -4682;
34cf : -4298;
34d0 : -1091;
34d1 : -1892;
34d2 : -3654;
34d3 : -573;
34d4 : 1627;
34d5 : -1602;
34d6 : -2631;
34d7 : -2392;
34d8 : -1507;
34d9 : 1886;
34da : 2310;
34db : 2561;
34dc : 1570;
34dd : -128;
34de : -2244;
34df : -4232;
34e0 : -4309;
34e1 : -2719;
34e2 : -1218;
34e3 : 3272;
34e4 : 3334;
34e5 : 3123;
34e6 : 4367;
34e7 : 4114;
34e8 : 3988;
34e9 : 2040;
34ea : 1542;
34eb : 869;
34ec : 421;
34ed : 2319;
34ee : 1365;
34ef : 1987;
34f0 : 3063;
34f1 : 3784;
34f2 : 4638;
34f3 : 3705;
34f4 : 7868;
34f5 : 12515;
34f6 : 10896;
34f7 : 5688;
34f8 : 1741;
34f9 : -196;
34fa : -2550;
34fb : -3282;
34fc : -1377;
34fd : -1616;
34fe : 2616;
34ff : 4772;
3500 : 5180;
3501 : 5985;
3502 : 3344;
3503 : 4437;
3504 : 4680;
3505 : 2830;
3506 : 2129;
3507 : -230;
3508 : -2306;
3509 : -1843;
350a : -2875;
350b : -3301;
350c : -3911;
350d : -2629;
350e : -2869;
350f : -934;
3510 : -2541;
3511 : -1769;
3512 : -2587;
3513 : -5588;
3514 : -7110;
3515 : -6233;
3516 : -7975;
3517 : -7137;
3518 : -6784;
3519 : -4759;
351a : -4585;
351b : -4728;
351c : -4528;
351d : -5177;
351e : -4778;
351f : -4655;
3520 : -4982;
3521 : -5197;
3522 : -6434;
3523 : -4373;
3524 : -3599;
3525 : -4830;
3526 : -6688;
3527 : -7975;
3528 : -6256;
3529 : -4707;
352a : 111;
352b : 6556;
352c : 7051;
352d : 2141;
352e : -1772;
352f : -5495;
3530 : -8158;
3531 : -7585;
3532 : -6378;
3533 : -7144;
3534 : -2609;
3535 : 2700;
3536 : 5397;
3537 : 7283;
3538 : 3918;
3539 : 2564;
353a : 3661;
353b : 3672;
353c : 5205;
353d : 5514;
353e : 3931;
353f : 4207;
3540 : 6617;
3541 : 6760;
3542 : 5931;
3543 : 7630;
3544 : 8318;
3545 : 9703;
3546 : 8170;
3547 : 7685;
3548 : 7810;
3549 : 7238;
354a : 6813;
354b : 4770;
354c : 5209;
354d : 5024;
354e : 4322;
354f : 4704;
3550 : 3871;
3551 : 2512;
3552 : 4016;
3553 : 4714;
3554 : 4981;
3555 : 4258;
3556 : 4978;
3557 : 4585;
3558 : 1319;
3559 : 318;
355a : -1347;
355b : -3995;
355c : -4643;
355d : -4738;
355e : -3136;
355f : -2157;
3560 : 1298;
3561 : 5084;
3562 : 4453;
3563 : -391;
3564 : -5275;
3565 : -7455;
3566 : -8348;
3567 : -10417;
3568 : -10734;
3569 : -10145;
356a : -7435;
356b : -4520;
356c : -2892;
356d : -2711;
356e : -6427;
356f : -8671;
3570 : -8444;
3571 : -8083;
3572 : -4641;
3573 : -4118;
3574 : -5679;
3575 : -5942;
3576 : -5331;
3577 : -3902;
3578 : -4596;
3579 : -3977;
357a : -3672;
357b : -1895;
357c : -493;
357d : 93;
357e : -48;
357f : -1319;
3580 : -2174;
3581 : -4591;
3582 : -4694;
3583 : -4724;
3584 : -4739;
3585 : -962;
3586 : 1881;
3587 : 2122;
3588 : 2448;
3589 : 2888;
358a : 2106;
358b : 2607;
358c : 2153;
358d : 2883;
358e : 3611;
358f : 4739;
3590 : 4622;
3591 : 4089;
3592 : 4303;
3593 : 4469;
3594 : 6409;
3595 : 6428;
3596 : 6978;
3597 : 13310;
3598 : 14486;
3599 : 11590;
359a : 5989;
359b : 1162;
359c : -1070;
359d : 597;
359e : 1101;
359f : 1578;
35a0 : 4011;
35a1 : 5310;
35a2 : 6879;
35a3 : 6296;
35a4 : 3979;
35a5 : 3258;
35a6 : 3713;
35a7 : 4099;
35a8 : 5090;
35a9 : 3722;
35aa : 1012;
35ab : 1014;
35ac : -1369;
35ad : -1955;
35ae : -1109;
35af : -1797;
35b0 : 19;
35b1 : 1566;
35b2 : -88;
35b3 : -1542;
35b4 : -1430;
35b5 : -4609;
35b6 : -6437;
35b7 : -6576;
35b8 : -5766;
35b9 : -5245;
35ba : -4788;
35bb : -3050;
35bc : -3137;
35bd : -2146;
35be : -3882;
35bf : -5139;
35c0 : -6203;
35c1 : -7471;
35c2 : -6282;
35c3 : -6159;
35c4 : -6858;
35c5 : -5128;
35c6 : -3395;
35c7 : -3720;
35c8 : -4786;
35c9 : -5865;
35ca : -6298;
35cb : -5066;
35cc : -2895;
35cd : 2980;
35ce : 6960;
35cf : 5342;
35d0 : 1146;
35d1 : -4751;
35d2 : -8352;
35d3 : -8478;
35d4 : -6877;
35d5 : -6509;
35d6 : -3231;
35d7 : -1052;
35d8 : 3628;
35d9 : 5998;
35da : 4680;
35db : 1428;
35dc : -125;
35dd : -320;
35de : 2035;
35df : 3417;
35e0 : 3631;
35e1 : 3436;
35e2 : 4233;
35e3 : 4593;
35e4 : 5487;
35e5 : 5279;
35e6 : 7404;
35e7 : 8970;
35e8 : 9225;
35e9 : 8129;
35ea : 6889;
35eb : 5694;
35ec : 4533;
35ed : 2868;
35ee : 3418;
35ef : 4867;
35f0 : 4892;
35f1 : 6750;
35f2 : 6154;
35f3 : 4679;
35f4 : 2834;
35f5 : 2948;
35f6 : 3052;
35f7 : 1047;
35f8 : 2111;
35f9 : 3266;
35fa : 1894;
35fb : 1905;
35fc : 1258;
35fd : -1221;
35fe : -3624;
35ff : -3696;
3600 : -3512;
3601 : -2513;
3602 : -622;
3603 : 2714;
3604 : 4021;
3605 : 2292;
3606 : -1815;
3607 : -6868;
3608 : -8422;
3609 : -9101;
360a : -8981;
360b : -9230;
360c : -6403;
360d : -4781;
360e : -1976;
360f : -569;
3610 : -2905;
3611 : -5560;
3612 : -7256;
3613 : -8139;
3614 : -6357;
3615 : -5573;
3616 : -4946;
3617 : -4399;
3618 : -4619;
3619 : -3961;
361a : -2810;
361b : -3956;
361c : -4889;
361d : -3641;
361e : -2335;
361f : -253;
3620 : -605;
3621 : 297;
3622 : -1796;
3623 : -3720;
3624 : -4754;
3625 : -3798;
3626 : -4392;
3627 : -81;
3628 : 1737;
3629 : 3090;
362a : 2766;
362b : 1587;
362c : 526;
362d : -62;
362e : 618;
362f : 2146;
3630 : 3623;
3631 : 4816;
3632 : 4982;
3633 : 5080;
3634 : 2210;
3635 : 1468;
3636 : 3238;
3637 : 4658;
3638 : 5860;
3639 : 8900;
363a : 12824;
363b : 12975;
363c : 10307;
363d : 3797;
363e : 73;
363f : 153;
3640 : 2257;
3641 : 2972;
3642 : 5497;
3643 : 5342;
3644 : 6602;
3645 : 6355;
3646 : 4373;
3647 : 4367;
3648 : 4387;
3649 : 5018;
364a : 7821;
364b : 6164;
364c : 4264;
364d : 2741;
364e : 715;
364f : -496;
3650 : 292;
3651 : -398;
3652 : -1074;
3653 : 1326;
3654 : -156;
3655 : -1181;
3656 : -2641;
3657 : -2753;
3658 : -4486;
3659 : -4841;
365a : -5255;
365b : -3882;
365c : -4413;
365d : -3727;
365e : -3669;
365f : -4290;
3660 : -4368;
3661 : -6312;
3662 : -6955;
3663 : -8785;
3664 : -8587;
3665 : -7652;
3666 : -8006;
3667 : -7413;
3668 : -5018;
3669 : -3797;
366a : -4766;
366b : -7033;
366c : -7012;
366d : -8919;
366e : -7474;
366f : -3755;
3670 : 1487;
3671 : 3340;
3672 : 3625;
3673 : -1506;
3674 : -6042;
3675 : -7536;
3676 : -7430;
3677 : -6632;
3678 : -3899;
3679 : -3053;
367a : -1014;
367b : 2761;
367c : 2742;
367d : 873;
367e : -198;
367f : -1932;
3680 : 779;
3681 : 3215;
3682 : 4192;
3683 : 4119;
3684 : 3766;
3685 : 3793;
3686 : 3783;
3687 : 4773;
3688 : 5259;
3689 : 7977;
368a : 8026;
368b : 8299;
368c : 6298;
368d : 5897;
368e : 3981;
368f : 3244;
3690 : 3535;
3691 : 5631;
3692 : 6533;
3693 : 8060;
3694 : 8503;
3695 : 6574;
3696 : 3957;
3697 : 2913;
3698 : 3698;
3699 : 3449;
369a : 3817;
369b : 6231;
369c : 6132;
369d : 4868;
369e : 4631;
369f : 2069;
36a0 : -715;
36a1 : -2485;
36a2 : -1695;
36a3 : -1393;
36a4 : 946;
36a5 : 2868;
36a6 : 4497;
36a7 : 4578;
36a8 : 2303;
36a9 : -1592;
36aa : -4888;
36ab : -5179;
36ac : -5224;
36ad : -4883;
36ae : -5171;
36af : -5122;
36b0 : -4241;
36b1 : -2149;
36b2 : -2839;
36b3 : -4405;
36b4 : -6465;
36b5 : -7837;
36b6 : -6618;
36b7 : -6192;
36b8 : -5746;
36b9 : -4632;
36ba : -6182;
36bb : -5504;
36bc : -4906;
36bd : -3639;
36be : -4908;
36bf : -5047;
36c0 : -4955;
36c1 : -3891;
36c2 : -4006;
36c3 : -2576;
36c4 : -2470;
36c5 : -3974;
36c6 : -4550;
36c7 : -4591;
36c8 : -5225;
36c9 : -2951;
36ca : -634;
36cb : -140;
36cc : 555;
36cd : 791;
36ce : -768;
36cf : -935;
36d0 : -953;
36d1 : 33;
36d2 : 1993;
36d3 : 2234;
36d4 : 2822;
36d5 : 3231;
36d6 : 2836;
36d7 : -174;
36d8 : 833;
36d9 : 2697;
36da : 4370;
36db : 6371;
36dc : 9032;
36dd : 10969;
36de : 11164;
36df : 6739;
36e0 : 1226;
36e1 : 124;
36e2 : 1248;
36e3 : 3027;
36e4 : 4844;
36e5 : 4926;
36e6 : 5015;
36e7 : 4814;
36e8 : 4053;
36e9 : 3473;
36ea : 3058;
36eb : 3518;
36ec : 6480;
36ed : 6902;
36ee : 5587;
36ef : 3967;
36f0 : 858;
36f1 : 305;
36f2 : 947;
36f3 : 1321;
36f4 : 1626;
36f5 : 2675;
36f6 : 3012;
36f7 : 1451;
36f8 : -896;
36f9 : -2625;
36fa : -2483;
36fb : -4068;
36fc : -4394;
36fd : -3643;
36fe : -2406;
36ff : -1431;
3700 : -1052;
3701 : -1907;
3702 : -3048;
3703 : -3626;
3704 : -4619;
3705 : -5388;
3706 : -5008;
3707 : -4380;
3708 : -4435;
3709 : -6335;
370a : -5908;
370b : -5123;
370c : -4275;
370d : -5289;
370e : -4758;
370f : -5642;
3710 : -5838;
3711 : -2790;
3712 : 77;
3713 : 2622;
3714 : 4711;
3715 : 1798;
3716 : -3113;
3717 : -4855;
3718 : -6445;
3719 : -6426;
371a : -3749;
371b : -4198;
371c : -3890;
371d : -1740;
371e : 623;
371f : 1153;
3720 : 398;
3721 : -1057;
3722 : -978;
3723 : 1062;
3724 : 1787;
3725 : 2127;
3726 : 1298;
3727 : 1600;
3728 : 2105;
3729 : 2389;
372a : 3581;
372b : 5204;
372c : 7069;
372d : 7563;
372e : 6792;
372f : 5638;
3730 : 4268;
3731 : 2310;
3732 : 1966;
3733 : 2879;
3734 : 3702;
3735 : 5680;
3736 : 6669;
3737 : 7203;
3738 : 3955;
3739 : 2172;
373a : 1933;
373b : 1537;
373c : 1908;
373d : 3394;
373e : 4857;
373f : 4881;
3740 : 4080;
3741 : 1969;
3742 : -404;
3743 : -2437;
3744 : -3938;
3745 : -3181;
3746 : -1377;
3747 : 1540;
3748 : 3206;
3749 : 4307;
374a : 3261;
374b : 531;
374c : -2744;
374d : -4677;
374e : -4189;
374f : -2923;
3750 : -1963;
3751 : -3609;
3752 : -4802;
3753 : -5032;
3754 : -4224;
3755 : -3030;
3756 : -4093;
3757 : -4465;
3758 : -4297;
3759 : -4235;
375a : -3860;
375b : -2983;
375c : -4142;
375d : -5138;
375e : -3138;
375f : -2174;
3760 : -2241;
3761 : -3942;
3762 : -3560;
3763 : -3772;
3764 : -4314;
3765 : -3115;
3766 : -909;
3767 : 257;
3768 : 1248;
3769 : 191;
376a : -3254;
376b : -3679;
376c : -3133;
376d : -1216;
376e : -204;
376f : 538;
3770 : 593;
3771 : 148;
3772 : -599;
3773 : -606;
3774 : 1785;
3775 : 2619;
3776 : 2996;
3777 : 4376;
3778 : 4896;
3779 : 2789;
377a : 762;
377b : -75;
377c : -30;
377d : 752;
377e : 3512;
377f : 9955;
3780 : 14878;
3781 : 12622;
3782 : 6354;
3783 : -219;
3784 : -3901;
3785 : -3543;
3786 : 1072;
3787 : 4945;
3788 : 6050;
3789 : 5588;
378a : 3586;
378b : 1165;
378c : -1592;
378d : -1584;
378e : 2122;
378f : 6120;
3790 : 10249;
3791 : 11587;
3792 : 7942;
3793 : 2471;
3794 : -2216;
3795 : -7048;
3796 : -8225;
3797 : -4452;
3798 : 2338;
3799 : 7183;
379a : 6328;
379b : 2675;
379c : -439;
379d : -3550;
379e : -5936;
379f : -6417;
37a0 : -6203;
37a1 : -3763;
37a2 : -2894;
37a3 : -2831;
37a4 : -3209;
37a5 : -5120;
37a6 : -7292;
37a7 : -7416;
37a8 : -6009;
37a9 : -4086;
37aa : -2235;
37ab : -2237;
37ac : -3173;
37ad : -3658;
37ae : -3216;
37af : -576;
37b0 : 3935;
37b1 : 3610;
37b2 : 577;
37b3 : -4318;
37b4 : -9316;
37b5 : -11494;
37b6 : -8210;
37b7 : -5998;
37b8 : -4824;
37b9 : -1553;
37ba : -654;
37bb : -1392;
37bc : -1662;
37bd : -2805;
37be : -4092;
37bf : -1590;
37c0 : 1327;
37c1 : 4046;
37c2 : 4885;
37c3 : 3878;
37c4 : 771;
37c5 : -3012;
37c6 : -6131;
37c7 : -3577;
37c8 : 920;
37c9 : 4742;
37ca : 6868;
37cb : 6298;
37cc : 4199;
37cd : 3116;
37ce : 3430;
37cf : 4680;
37d0 : 4673;
37d1 : 6837;
37d2 : 6844;
37d3 : 4884;
37d4 : 3359;
37d5 : 245;
37d6 : -3588;
37d7 : -4170;
37d8 : -1018;
37d9 : 2991;
37da : 6014;
37db : 9289;
37dc : 10646;
37dd : 9358;
37de : 6407;
37df : 4843;
37e0 : 6046;
37e1 : 7316;
37e2 : 7269;
37e3 : 3110;
37e4 : -723;
37e5 : -3476;
37e6 : -3189;
37e7 : -2105;
37e8 : -877;
37e9 : 54;
37ea : 1414;
37eb : 1311;
37ec : 836;
37ed : -2275;
37ee : -5003;
37ef : -2847;
37f0 : -203;
37f1 : 753;
37f2 : 331;
37f3 : 626;
37f4 : -553;
37f5 : -2979;
37f6 : -6730;
37f7 : -6849;
37f8 : -4967;
37f9 : -609;
37fa : 1715;
37fb : 1272;
37fc : -1832;
37fd : -3574;
37fe : -5550;
37ff : -6965;
3800 : -8481;
3801 : -6841;
3802 : -5376;
3803 : -4709;
3804 : -2478;
3805 : -1526;
3806 : -3410;
3807 : -3966;
3808 : -3963;
3809 : -5359;
380a : -5186;
380b : -5262;
380c : -4327;
380d : -1925;
380e : -823;
380f : -93;
3810 : 3696;
3811 : 6973;
3812 : 7579;
3813 : 4462;
3814 : -458;
3815 : -4828;
3816 : -5815;
3817 : -4497;
3818 : -3096;
3819 : -3487;
381a : -2379;
381b : -679;
381c : 1471;
381d : 1927;
381e : 1012;
381f : 3801;
3820 : 6484;
3821 : 7484;
3822 : 7527;
3823 : 6739;
3824 : 4939;
3825 : 2314;
3826 : -1762;
3827 : -4553;
3828 : -4067;
3829 : 1494;
382a : 5959;
382b : 7177;
382c : 7610;
382d : 8596;
382e : 7345;
382f : 7654;
3830 : 6378;
3831 : 3605;
3832 : 2350;
3833 : 2311;
3834 : 777;
3835 : 715;
3836 : -1117;
3837 : -3513;
3838 : -2653;
3839 : -2673;
383a : -1337;
383b : 809;
383c : 3399;
383d : 5155;
383e : 5801;
383f : 3022;
3840 : 2275;
3841 : 2016;
3842 : 557;
3843 : -1047;
3844 : -2552;
3845 : -4385;
3846 : -4442;
3847 : -2150;
3848 : -2124;
3849 : -3437;
384a : -4529;
384b : -4946;
384c : -3818;
384d : -2902;
384e : -3950;
384f : -4061;
3850 : -3067;
3851 : -1375;
3852 : -633;
3853 : -763;
3854 : -1921;
3855 : -456;
3856 : -3341;
3857 : -6361;
3858 : -6111;
3859 : -3997;
385a : -2532;
385b : -210;
385c : -922;
385d : -1851;
385e : -1012;
385f : -27;
3860 : -471;
3861 : -2116;
3862 : -3275;
3863 : -2761;
3864 : -1776;
3865 : 552;
3866 : 1046;
3867 : -1371;
3868 : -2541;
3869 : -3623;
386a : -4767;
386b : -6042;
386c : -3584;
386d : 733;
386e : 5587;
386f : 7347;
3870 : 8884;
3871 : 10803;
3872 : 9738;
3873 : 6765;
3874 : 4223;
3875 : 679;
3876 : -1337;
3877 : 158;
3878 : 999;
3879 : 762;
387a : 411;
387b : 650;
387c : 846;
387d : 2894;
387e : 3556;
387f : 3596;
3880 : 6280;
3881 : 8882;
3882 : 7130;
3883 : 5309;
3884 : 3743;
3885 : 3077;
3886 : 882;
3887 : -973;
3888 : -2580;
3889 : -590;
388a : 1908;
388b : 3968;
388c : 4229;
388d : 3231;
388e : 3148;
388f : 2648;
3890 : 1294;
3891 : -1175;
3892 : -3133;
3893 : -4792;
3894 : -5288;
3895 : -3724;
3896 : -1587;
3897 : -2375;
3898 : -2537;
3899 : -3086;
389a : -4143;
389b : -6382;
389c : -6262;
389d : -4688;
389e : -2386;
389f : -1393;
38a0 : -936;
38a1 : 16;
38a2 : 801;
38a3 : -222;
38a4 : -1886;
38a5 : -4478;
38a6 : -5531;
38a7 : -4533;
38a8 : -3973;
38a9 : -3079;
38aa : -3905;
38ab : -4933;
38ac : -5426;
38ad : -4273;
38ae : -4394;
38af : -3563;
38b0 : -1933;
38b1 : 229;
38b2 : 1404;
38b3 : 838;
38b4 : -982;
38b5 : 13;
38b6 : -166;
38b7 : -1226;
38b8 : -3645;
38b9 : -3619;
38ba : -1129;
38bb : 495;
38bc : 387;
38bd : 621;
38be : 1884;
38bf : 4529;
38c0 : 6073;
38c1 : 5603;
38c2 : 3938;
38c3 : 1499;
38c4 : 1274;
38c5 : 1563;
38c6 : 3481;
38c7 : 2674;
38c8 : 2237;
38c9 : 1581;
38ca : 390;
38cb : -614;
38cc : -105;
38cd : 777;
38ce : 3775;
38cf : 6359;
38d0 : 6475;
38d1 : 7992;
38d2 : 9954;
38d3 : 8861;
38d4 : 6318;
38d5 : 4169;
38d6 : 584;
38d7 : 275;
38d8 : 1158;
38d9 : 1036;
38da : 361;
38db : 405;
38dc : -1216;
38dd : 108;
38de : 915;
38df : 967;
38e0 : 910;
38e1 : 2237;
38e2 : 3060;
38e3 : 2317;
38e4 : -1485;
38e5 : -2309;
38e6 : -1646;
38e7 : -1851;
38e8 : -3114;
38e9 : -4103;
38ea : -2937;
38eb : -1656;
38ec : -1065;
38ed : -1336;
38ee : -2054;
38ef : -1346;
38f0 : -220;
38f1 : -707;
38f2 : -3508;
38f3 : -5526;
38f4 : -4782;
38f5 : -4384;
38f6 : -2681;
38f7 : -2826;
38f8 : -3507;
38f9 : -5065;
38fa : -4556;
38fb : -5296;
38fc : -7368;
38fd : -7569;
38fe : -4829;
38ff : -2211;
3900 : -735;
3901 : 328;
3902 : 2427;
3903 : 3185;
3904 : 1226;
3905 : -984;
3906 : -3245;
3907 : -2331;
3908 : -1118;
3909 : -843;
390a : -1539;
390b : -2028;
390c : -3629;
390d : -3385;
390e : -2907;
390f : -1839;
3910 : -108;
3911 : 3293;
3912 : 5804;
3913 : 7866;
3914 : 5431;
3915 : 3540;
3916 : 3520;
3917 : 2525;
3918 : 1379;
3919 : 199;
391a : 1117;
391b : 2639;
391c : 4517;
391d : 4352;
391e : 3878;
391f : 4773;
3920 : 6571;
3921 : 6199;
3922 : 5404;
3923 : 4569;
3924 : 3646;
3925 : 1731;
3926 : 2726;
3927 : 2923;
3928 : 2775;
3929 : 2362;
392a : 2089;
392b : 726;
392c : -534;
392d : -2013;
392e : -1869;
392f : 222;
3930 : 2374;
3931 : 3182;
3932 : 4206;
3933 : 5234;
3934 : 3474;
3935 : 894;
3936 : -2303;
3937 : -4127;
3938 : -3319;
3939 : -1067;
393a : -549;
393b : -901;
393c : -1950;
393d : -3201;
393e : -3766;
393f : -4699;
3940 : -6277;
3941 : -5808;
3942 : -3883;
3943 : -1030;
3944 : -1861;
3945 : -3242;
3946 : -2798;
3947 : -2554;
3948 : -2279;
3949 : -3959;
394a : -4753;
394b : -3303;
394c : -2188;
394d : -2935;
394e : -2701;
394f : -2796;
3950 : -1357;
3951 : 15;
3952 : -140;
3953 : -2641;
3954 : -3046;
3955 : -3521;
3956 : -2670;
3957 : -1379;
3958 : -139;
3959 : 278;
395a : -5;
395b : -1647;
395c : -3321;
395d : -5159;
395e : -6130;
395f : -4193;
3960 : -1145;
3961 : 1904;
3962 : 5338;
3963 : 8778;
3964 : 8951;
3965 : 6954;
3966 : 3116;
3967 : 1003;
3968 : 839;
3969 : 1830;
396a : 1892;
396b : 1722;
396c : 287;
396d : -839;
396e : 408;
396f : 1511;
3970 : 1628;
3971 : 3400;
3972 : 4740;
3973 : 7498;
3974 : 8548;
3975 : 5527;
3976 : 3886;
3977 : 3310;
3978 : 1589;
3979 : 590;
397a : 291;
397b : 1213;
397c : 2587;
397d : 3278;
397e : 2552;
397f : 1224;
3980 : 2510;
3981 : 4510;
3982 : 4529;
3983 : 2424;
3984 : 1952;
3985 : 249;
3986 : -2159;
3987 : -2693;
3988 : -2718;
3989 : -2931;
398a : -1940;
398b : -1098;
398c : -1610;
398d : -3079;
398e : -5062;
398f : -4774;
3990 : -3818;
3991 : -2685;
3992 : -1258;
3993 : 1565;
3994 : 2518;
3995 : 1488;
3996 : -702;
3997 : -3371;
3998 : -4776;
3999 : -3369;
399a : -2958;
399b : -3034;
399c : -3169;
399d : -3657;
399e : -4360;
399f : -4176;
39a0 : -5724;
39a1 : -6072;
39a2 : -4472;
39a3 : -1500;
39a4 : 571;
39a5 : -53;
39a6 : -626;
39a7 : -1488;
39a8 : -2171;
39a9 : -2666;
39aa : -2601;
39ab : -2219;
39ac : -984;
39ad : -62;
39ae : -605;
39af : -1350;
39b0 : -526;
39b1 : 522;
39b2 : 2427;
39b3 : 2530;
39b4 : 2867;
39b5 : 3133;
39b6 : 2454;
39b7 : 2547;
39b8 : 2273;
39b9 : 2189;
39ba : 2091;
39bb : 2194;
39bc : 1646;
39bd : 324;
39be : -1556;
39bf : -1391;
39c0 : -388;
39c1 : 1573;
39c2 : 4071;
39c3 : 6409;
39c4 : 8286;
39c5 : 9171;
39c6 : 7613;
39c7 : 3881;
39c8 : 1855;
39c9 : 719;
39ca : 1491;
39cb : 3270;
39cc : 3226;
39cd : 2242;
39ce : 1410;
39cf : 1193;
39d0 : -1081;
39d1 : -2918;
39d2 : -2067;
39d3 : 515;
39d4 : 3560;
39d5 : 4158;
39d6 : 2654;
39d7 : 897;
39d8 : 110;
39d9 : -1652;
39da : -3538;
39db : -3320;
39dc : -1126;
39dd : 183;
39de : 159;
39df : -460;
39e0 : -1037;
39e1 : -1243;
39e2 : -488;
39e3 : -2143;
39e4 : -3199;
39e5 : -2611;
39e6 : -2440;
39e7 : -1849;
39e8 : -1395;
39e9 : -2245;
39ea : -2109;
39eb : -1803;
39ec : -3719;
39ed : -4085;
39ee : -5779;
39ef : -6865;
39f0 : -5705;
39f1 : -4321;
39f2 : -3858;
39f3 : -233;
39f4 : 3111;
39f5 : 3478;
39f6 : 2656;
39f7 : -231;
39f8 : -2885;
39f9 : -3847;
39fa : -3294;
39fb : -1769;
39fc : -651;
39fd : -899;
39fe : -828;
39ff : -414;
3a00 : -1515;
3a01 : -3154;
3a02 : -3449;
3a03 : -2238;
3a04 : 830;
3a05 : 2592;
3a06 : 2793;
3a07 : 3666;
3a08 : 5880;
3a09 : 4497;
3a0a : 2204;
3a0b : 2140;
3a0c : 1048;
3a0d : -2687;
3a0e : -3906;
3a0f : -4077;
3a10 : 127;
3a11 : 5374;
3a12 : 9086;
3a13 : 9684;
3a14 : 5178;
3a15 : 2064;
3a16 : 3425;
3a17 : 3268;
3a18 : 4637;
3a19 : 6425;
3a1a : 6041;
3a1b : 4535;
3a1c : 3487;
3a1d : 2759;
3a1e : -1367;
3a1f : -4396;
3a20 : -3332;
3a21 : -3153;
3a22 : -640;
3a23 : -57;
3a24 : 627;
3a25 : 2636;
3a26 : 4384;
3a27 : 3596;
3a28 : 1165;
3a29 : 3628;
3a2a : 6109;
3a2b : 1982;
3a2c : -7808;
3a2d : -14859;
3a2e : -18056;
3a2f : -8459;
3a30 : -2227;
3a31 : 460;
3a32 : -514;
3a33 : -3990;
3a34 : -2056;
3a35 : -3320;
3a36 : -5139;
3a37 : -703;
3a38 : 58;
3a39 : 5069;
3a3a : 1938;
3a3b : 1429;
3a3c : 3649;
3a3d : 2724;
3a3e : 1326;
3a3f : -776;
3a40 : -492;
3a41 : 2092;
3a42 : 1933;
3a43 : 2972;
3a44 : 2650;
3a45 : 636;
3a46 : -274;
3a47 : 875;
3a48 : 6163;
3a49 : 6387;
3a4a : -1384;
3a4b : -8187;
3a4c : -12952;
3a4d : -11590;
3a4e : -8722;
3a4f : -2738;
3a50 : -2128;
3a51 : -1805;
3a52 : -3369;
3a53 : -2737;
3a54 : -339;
3a55 : 2237;
3a56 : 5122;
3a57 : 4521;
3a58 : 1837;
3a59 : -1377;
3a5a : -2647;
3a5b : -5054;
3a5c : -6297;
3a5d : -6012;
3a5e : -4951;
3a5f : -427;
3a60 : 2346;
3a61 : -194;
3a62 : -1422;
3a63 : -2708;
3a64 : 3001;
3a65 : 6314;
3a66 : 11301;
3a67 : 14657;
3a68 : 13284;
3a69 : 5204;
3a6a : -2950;
3a6b : -6729;
3a6c : -2407;
3a6d : 5330;
3a6e : 9356;
3a6f : 7189;
3a70 : 5449;
3a71 : 3240;
3a72 : 5164;
3a73 : 4448;
3a74 : 6296;
3a75 : 8906;
3a76 : 5367;
3a77 : 5899;
3a78 : 5024;
3a79 : 2392;
3a7a : -2618;
3a7b : -6446;
3a7c : -5236;
3a7d : -4399;
3a7e : -3228;
3a7f : -4097;
3a80 : -5118;
3a81 : -6331;
3a82 : -4942;
3a83 : -4909;
3a84 : 37;
3a85 : 6905;
3a86 : 9347;
3a87 : 4668;
3a88 : -5841;
3a89 : -13457;
3a8a : -12099;
3a8b : -7907;
3a8c : -2461;
3a8d : 1056;
3a8e : -415;
3a8f : -522;
3a90 : -539;
3a91 : -3415;
3a92 : -4288;
3a93 : -3136;
3a94 : -2030;
3a95 : -641;
3a96 : 1587;
3a97 : 2340;
3a98 : 2341;
3a99 : 2445;
3a9a : -1450;
3a9b : -4042;
3a9c : -4198;
3a9d : -1433;
3a9e : 652;
3a9f : -341;
3aa0 : -571;
3aa1 : -249;
3aa2 : -31;
3aa3 : 3265;
3aa4 : 6261;
3aa5 : 7306;
3aa6 : 778;
3aa7 : -6731;
3aa8 : -8820;
3aa9 : -5702;
3aaa : -2850;
3aab : 855;
3aac : 1857;
3aad : 5066;
3aae : 2280;
3aaf : 937;
3ab0 : -1053;
3ab1 : -1716;
3ab2 : 1489;
3ab3 : 54;
3ab4 : -464;
3ab5 : 1753;
3ab6 : 5867;
3ab7 : 6853;
3ab8 : 5404;
3ab9 : 689;
3aba : -157;
3abb : 1352;
3abc : 857;
3abd : 3124;
3abe : 1987;
3abf : 1667;
3ac0 : 2887;
3ac1 : 3300;
3ac2 : 5336;
3ac3 : 5623;
3ac4 : 2661;
3ac5 : -2608;
3ac6 : -5315;
3ac7 : -5493;
3ac8 : -3307;
3ac9 : 440;
3aca : 2887;
3acb : 372;
3acc : -965;
3acd : -1196;
3ace : 1702;
3acf : 1319;
3ad0 : 2487;
3ad1 : 1908;
3ad2 : 1465;
3ad3 : 501;
3ad4 : 1075;
3ad5 : 934;
3ad6 : 513;
3ad7 : -497;
3ad8 : -1553;
3ad9 : -1865;
3ada : -2160;
3adb : -2463;
3adc : -4196;
3add : -4286;
3ade : -2521;
3adf : -4511;
3ae0 : -2565;
3ae1 : -313;
3ae2 : 2646;
3ae3 : -584;
3ae4 : -5114;
3ae5 : -7584;
3ae6 : -4382;
3ae7 : 438;
3ae8 : 4408;
3ae9 : 2750;
3aea : 860;
3aeb : -1437;
3aec : -3054;
3aed : -3549;
3aee : -1704;
3aef : 867;
3af0 : 2157;
3af1 : 884;
3af2 : -2136;
3af3 : -3997;
3af4 : -4495;
3af5 : -3620;
3af6 : -2595;
3af7 : -440;
3af8 : 1593;
3af9 : 2966;
3afa : 2591;
3afb : -166;
3afc : -3161;
3afd : -1811;
3afe : -793;
3aff : 3444;
3b00 : 8061;
3b01 : 9064;
3b02 : 3730;
3b03 : -804;
3b04 : -5036;
3b05 : -2922;
3b06 : 1610;
3b07 : 4355;
3b08 : 3091;
3b09 : 2266;
3b0a : 1131;
3b0b : 2096;
3b0c : 1989;
3b0d : 3307;
3b0e : 3219;
3b0f : 4709;
3b10 : 603;
3b11 : -699;
3b12 : 708;
3b13 : -533;
3b14 : -2976;
3b15 : -3209;
3b16 : -1884;
3b17 : 1983;
3b18 : 4261;
3b19 : 4052;
3b1a : 1030;
3b1b : 1088;
3b1c : -2784;
3b1d : -710;
3b1e : 3069;
3b1f : 6240;
3b20 : 7363;
3b21 : 1830;
3b22 : -3307;
3b23 : -6573;
3b24 : -5944;
3b25 : -3255;
3b26 : -540;
3b27 : -916;
3b28 : -1154;
3b29 : -1034;
3b2a : 424;
3b2b : 224;
3b2c : 1710;
3b2d : -630;
3b2e : -1862;
3b2f : -1419;
3b30 : -722;
3b31 : 1040;
3b32 : 215;
3b33 : -1337;
3b34 : -2096;
3b35 : -1961;
3b36 : -2401;
3b37 : -1089;
3b38 : -2740;
3b39 : -3342;
3b3a : -2104;
3b3b : -2396;
3b3c : 406;
3b3d : 3701;
3b3e : 6718;
3b3f : 2384;
3b40 : -3028;
3b41 : -9327;
3b42 : -8010;
3b43 : -5329;
3b44 : -3147;
3b45 : -1822;
3b46 : -1695;
3b47 : -949;
3b48 : 2913;
3b49 : 2718;
3b4a : 2439;
3b4b : 2918;
3b4c : 2139;
3b4d : -406;
3b4e : 955;
3b4f : 1574;
3b50 : 2648;
3b51 : 1916;
3b52 : 451;
3b53 : -811;
3b54 : -567;
3b55 : 98;
3b56 : 475;
3b57 : 516;
3b58 : -393;
3b59 : -1041;
3b5a : 1575;
3b5b : 5581;
3b5c : 8688;
3b5d : 8990;
3b5e : 2377;
3b5f : -2331;
3b60 : -4026;
3b61 : -1391;
3b62 : 1426;
3b63 : 4483;
3b64 : 4071;
3b65 : 1823;
3b66 : -1284;
3b67 : 420;
3b68 : 715;
3b69 : 2334;
3b6a : 2563;
3b6b : 897;
3b6c : -156;
3b6d : 1059;
3b6e : 2377;
3b6f : 1469;
3b70 : -594;
3b71 : -2788;
3b72 : -3672;
3b73 : -3014;
3b74 : -2387;
3b75 : -2976;
3b76 : -3749;
3b77 : -1970;
3b78 : -1524;
3b79 : 118;
3b7a : 3459;
3b7b : 6845;
3b7c : 4558;
3b7d : -831;
3b7e : -5345;
3b7f : -6821;
3b80 : -3664;
3b81 : -1457;
3b82 : 143;
3b83 : -1310;
3b84 : -3602;
3b85 : -4328;
3b86 : -2332;
3b87 : -299;
3b88 : 912;
3b89 : 1412;
3b8a : 566;
3b8b : 849;
3b8c : 1962;
3b8d : 1908;
3b8e : -1070;
3b8f : -4385;
3b90 : -4109;
3b91 : -1212;
3b92 : 181;
3b93 : 848;
3b94 : -384;
3b95 : -2397;
3b96 : -3119;
3b97 : -2974;
3b98 : -1157;
3b99 : 3493;
3b9a : 7368;
3b9b : 4428;
3b9c : 889;
3b9d : -1575;
3b9e : -1694;
3b9f : -546;
3ba0 : 1589;
3ba1 : -1304;
3ba2 : -3039;
3ba3 : -3214;
3ba4 : -1488;
3ba5 : 1559;
3ba6 : 3203;
3ba7 : 3150;
3ba8 : 3523;
3ba9 : 2330;
3baa : 2573;
3bab : 3695;
3bac : 3190;
3bad : 520;
3bae : -611;
3baf : -1088;
3bb0 : -125;
3bb1 : 2497;
3bb2 : 2964;
3bb3 : 1264;
3bb4 : -466;
3bb5 : -1690;
3bb6 : -996;
3bb7 : 1209;
3bb8 : 5415;
3bb9 : 5950;
3bba : 2058;
3bbb : -32;
3bbc : -1773;
3bbd : -1709;
3bbe : -319;
3bbf : -446;
3bc0 : -2136;
3bc1 : -1741;
3bc2 : -1410;
3bc3 : 735;
3bc4 : 2537;
3bc5 : 3565;
3bc6 : 2540;
3bc7 : 235;
3bc8 : -1901;
3bc9 : -2203;
3bca : -1341;
3bcb : -1714;
3bcc : -4167;
3bcd : -3385;
3bce : -1391;
3bcf : 474;
3bd0 : 2615;
3bd1 : 276;
3bd2 : -3776;
3bd3 : -4576;
3bd4 : -5446;
3bd5 : -4683;
3bd6 : -257;
3bd7 : 4103;
3bd8 : 4323;
3bd9 : 1249;
3bda : -1612;
3bdb : -2716;
3bdc : -1488;
3bdd : -39;
3bde : 368;
3bdf : -1877;
3be0 : -4342;
3be1 : -3069;
3be2 : -733;
3be3 : 1303;
3be4 : 1438;
3be5 : 257;
3be6 : -741;
3be7 : -994;
3be8 : -422;
3be9 : 618;
3bea : -107;
3beb : -1087;
3bec : -1030;
3bed : 188;
3bee : 2021;
3bef : 1898;
3bf0 : -1084;
3bf1 : -1343;
3bf2 : -1793;
3bf3 : -1095;
3bf4 : 1507;
3bf5 : 5033;
3bf6 : 8070;
3bf7 : 5542;
3bf8 : 1680;
3bf9 : -1707;
3bfa : -2503;
3bfb : -1224;
3bfc : 1760;
3bfd : 2224;
3bfe : 1810;
3bff : 1315;
3c00 : 1985;
3c01 : 1744;
3c02 : 1221;
3c03 : 632;
3c04 : -291;
3c05 : -1248;
3c06 : 499;
3c07 : 2074;
3c08 : 3375;
3c09 : 2573;
3c0a : 1232;
3c0b : 619;
3c0c : 1528;
3c0d : 1472;
3c0e : 683;
3c0f : -1926;
3c10 : -3299;
3c11 : -3098;
3c12 : -1837;
3c13 : 1092;
3c14 : 4223;
3c15 : 4119;
3c16 : 1935;
3c17 : -1254;
3c18 : -3919;
3c19 : -3436;
3c1a : -2165;
3c1b : -1206;
3c1c : -1922;
3c1d : -2083;
3c1e : -2956;
3c1f : -729;
3c20 : -1111;
3c21 : 442;
3c22 : 1131;
3c23 : -97;
3c24 : -779;
3c25 : -254;
3c26 : 69;
3c27 : 300;
3c28 : -1238;
3c29 : -2854;
3c2a : -1912;
3c2b : -1120;
3c2c : 479;
3c2d : -415;
3c2e : -1496;
3c2f : -1807;
3c30 : -1995;
3c31 : -1709;
3c32 : -513;
3c33 : 2902;
3c34 : 2494;
3c35 : -101;
3c36 : -2498;
3c37 : -3323;
3c38 : -2523;
3c39 : 111;
3c3a : -154;
3c3b : -820;
3c3c : -1263;
3c3d : -1392;
3c3e : 1092;
3c3f : 2841;
3c40 : 1832;
3c41 : 1911;
3c42 : 830;
3c43 : 73;
3c44 : 1511;
3c45 : 1938;
3c46 : 835;
3c47 : 212;
3c48 : 6;
3c49 : 1074;
3c4a : 2535;
3c4b : 3283;
3c4c : 1726;
3c4d : -183;
3c4e : -2064;
3c4f : -1468;
3c50 : -61;
3c51 : 3310;
3c52 : 6303;
3c53 : 5196;
3c54 : 2116;
3c55 : -92;
3c56 : -598;
3c57 : 798;
3c58 : 2079;
3c59 : -315;
3c5a : -2153;
3c5b : -3911;
3c5c : -2886;
3c5d : -677;
3c5e : 2238;
3c5f : 3603;
3c60 : 3661;
3c61 : 2509;
3c62 : -141;
3c63 : -437;
3c64 : -489;
3c65 : -2987;
3c66 : -4428;
3c67 : -2637;
3c68 : -265;
3c69 : 3043;
3c6a : 3769;
3c6b : 17;
3c6c : -2568;
3c6d : -4584;
3c6e : -4674;
3c6f : -2686;
3c70 : 1423;
3c71 : 3627;
3c72 : 2648;
3c73 : 34;
3c74 : -2348;
3c75 : -2958;
3c76 : -2514;
3c77 : -2026;
3c78 : -2427;
3c79 : -2773;
3c7a : -3416;
3c7b : -1586;
3c7c : -176;
3c7d : 955;
3c7e : 1486;
3c7f : 1455;
3c80 : 688;
3c81 : -413;
3c82 : 636;
3c83 : -213;
3c84 : -2325;
3c85 : -2781;
3c86 : -456;
3c87 : 1442;
3c88 : 2975;
3c89 : 1789;
3c8a : -1295;
3c8b : -4176;
3c8c : -4626;
3c8d : -3152;
3c8e : -217;
3c8f : 4585;
3c90 : 7376;
3c91 : 5953;
3c92 : 1816;
3c93 : -1004;
3c94 : -2299;
3c95 : -2375;
3c96 : -2571;
3c97 : -2767;
3c98 : -1766;
3c99 : 694;
3c9a : 3487;
3c9b : 4135;
3c9c : 4568;
3c9d : 3115;
3c9e : 1296;
3c9f : 652;
3ca0 : 895;
3ca1 : 1785;
3ca2 : 1309;
3ca3 : -611;
3ca4 : -641;
3ca5 : 654;
3ca6 : 1074;
3ca7 : 3118;
3ca8 : 1953;
3ca9 : 581;
3caa : -1177;
3cab : -2055;
3cac : -2137;
3cad : 535;
3cae : 3507;
3caf : 4170;
3cb0 : 2018;
3cb1 : -929;
3cb2 : -754;
3cb3 : 39;
3cb4 : 311;
3cb5 : -251;
3cb6 : -1541;
3cb7 : -2749;
3cb8 : -1308;
3cb9 : 706;
3cba : 306;
3cbb : 349;
3cbc : -596;
3cbd : -2401;
3cbe : -3033;
3cbf : -1743;
3cc0 : 155;
3cc1 : 726;
3cc2 : -871;
3cc3 : -1413;
3cc4 : -729;
3cc5 : -77;
3cc6 : -371;
3cc7 : -2527;
3cc8 : -4219;
3cc9 : -3621;
3cca : -1440;
3ccb : -72;
3ccc : 2400;
3ccd : 4454;
3cce : 2656;
3ccf : 246;
3cd0 : -1620;
3cd1 : -1535;
3cd2 : -443;
3cd3 : -437;
3cd4 : -1231;
3cd5 : -2386;
3cd6 : -3370;
3cd7 : -1939;
3cd8 : 4;
3cd9 : 377;
3cda : 882;
3cdb : -88;
3cdc : -1616;
3cdd : -1266;
3cde : 486;
3cdf : -223;
3ce0 : -200;
3ce1 : -524;
3ce2 : 1031;
3ce3 : 2556;
3ce4 : 4172;
3ce5 : 2524;
3ce6 : 3;
3ce7 : -2186;
3ce8 : -2357;
3ce9 : -760;
3cea : 1098;
3ceb : 3624;
3cec : 4900;
3ced : 3276;
3cee : 1646;
3cef : 1345;
3cf0 : 1593;
3cf1 : 2980;
3cf2 : 2806;
3cf3 : 300;
3cf4 : -1960;
3cf5 : -3327;
3cf6 : -2652;
3cf7 : -1296;
3cf8 : 43;
3cf9 : 1820;
3cfa : 3096;
3cfb : 2358;
3cfc : 1322;
3cfd : 1585;
3cfe : -424;
3cff : -1753;
3d00 : -1184;
3d01 : 762;
3d02 : 1754;
3d03 : 3368;
3d04 : 958;
3d05 : -1554;
3d06 : -3235;
3d07 : -3175;
3d08 : -2805;
3d09 : -267;
3d0a : 3195;
3d0b : 4246;
3d0c : 2691;
3d0d : 519;
3d0e : -1628;
3d0f : -2335;
3d10 : -1947;
3d11 : -2804;
3d12 : -3734;
3d13 : -3874;
3d14 : -2542;
3d15 : -752;
3d16 : 275;
3d17 : 1025;
3d18 : 945;
3d19 : 536;
3d1a : -591;
3d1b : -732;
3d1c : -1103;
3d1d : -2469;
3d1e : -3541;
3d1f : -1745;
3d20 : 155;
3d21 : 3101;
3d22 : 4814;
3d23 : 1509;
3d24 : -1524;
3d25 : -3494;
3d26 : -4919;
3d27 : -4747;
3d28 : -1470;
3d29 : 2332;
3d2a : 4073;
3d2b : 3777;
3d2c : 2641;
3d2d : 652;
3d2e : 281;
3d2f : 159;
3d30 : -1226;
3d31 : -2909;
3d32 : -2475;
3d33 : -959;
3d34 : 864;
3d35 : 2183;
3d36 : 2280;
3d37 : 687;
3d38 : 246;
3d39 : -514;
3d3a : 1087;
3d3b : 1261;
3d3c : 739;
3d3d : -168;
3d3e : 1452;
3d3f : 1883;
3d40 : 3981;
3d41 : 3718;
3d42 : 1315;
3d43 : -492;
3d44 : -1139;
3d45 : -1321;
3d46 : -618;
3d47 : 2208;
3d48 : 4440;
3d49 : 4303;
3d4a : 3267;
3d4b : 1829;
3d4c : 738;
3d4d : 477;
3d4e : -1293;
3d4f : -3141;
3d50 : -4276;
3d51 : -2367;
3d52 : 348;
3d53 : 1704;
3d54 : 1786;
3d55 : 1595;
3d56 : -464;
3d57 : -1533;
3d58 : -2669;
3d59 : -2539;
3d5a : -1333;
3d5b : 436;
3d5c : 488;
3d5d : 2383;
3d5e : 2583;
3d5f : 3024;
3d60 : 111;
3d61 : -3661;
3d62 : -5740;
3d63 : -4834;
3d64 : -3881;
3d65 : -963;
3d66 : 2062;
3d67 : 3211;
3d68 : 3472;
3d69 : 4455;
3d6a : 1525;
3d6b : -980;
3d6c : -1626;
3d6d : -3787;
3d6e : -9043;
3d6f : -12096;
3d70 : -9495;
3d71 : -2816;
3d72 : 4860;
3d73 : 9846;
3d74 : 9203;
3d75 : 4124;
3d76 : -1407;
3d77 : -2323;
3d78 : -1473;
3d79 : 530;
3d7a : 2005;
3d7b : 3566;
3d7c : 967;
3d7d : -1380;
3d7e : -3719;
3d7f : -9244;
3d80 : -8788;
3d81 : -3046;
3d82 : 2498;
3d83 : 4508;
3d84 : 11154;
3d85 : 14542;
3d86 : 7371;
3d87 : -3219;
3d88 : -13477;
3d89 : -15970;
3d8a : -8180;
3d8b : 3609;
3d8c : 9651;
3d8d : 5910;
3d8e : -8;
3d8f : -2414;
3d90 : -132;
3d91 : 775;
3d92 : 3781;
3d93 : 8999;
3d94 : 9132;
3d95 : 5213;
3d96 : 4142;
3d97 : -3720;
3d98 : -7334;
3d99 : -5634;
3d9a : -2776;
3d9b : -1529;
3d9c : 6794;
3d9d : 14049;
3d9e : 9116;
3d9f : -774;
3da0 : -12218;
3da1 : -14889;
3da2 : -7626;
3da3 : 3280;
3da4 : 11614;
3da5 : 9135;
3da6 : 1626;
3da7 : -4526;
3da8 : -4606;
3da9 : -2152;
3daa : -1755;
3dab : 2088;
3dac : 3316;
3dad : 2974;
3dae : 4166;
3daf : 684;
3db0 : -4776;
3db1 : -3797;
3db2 : -3530;
3db3 : -5303;
3db4 : -2408;
3db5 : 6936;
3db6 : 5568;
3db7 : -1991;
3db8 : -12065;
3db9 : -14243;
3dba : -4694;
3dbb : 7586;
3dbc : 13270;
3dbd : 7858;
3dbe : -1286;
3dbf : -7049;
3dc0 : -7623;
3dc1 : -4821;
3dc2 : -2696;
3dc3 : 2109;
3dc4 : 4790;
3dc5 : 4513;
3dc6 : 6627;
3dc7 : 5626;
3dc8 : -385;
3dc9 : -2526;
3dca : -5719;
3dcb : -8458;
3dcc : -4299;
3dcd : 7495;
3dce : 10071;
3dcf : 1756;
3dd0 : -10118;
3dd1 : -16741;
3dd2 : -10114;
3dd3 : -309;
3dd4 : 10275;
3dd5 : 9971;
3dd6 : 3036;
3dd7 : -833;
3dd8 : -1510;
3dd9 : 2549;
3dda : 3329;
3ddb : 2207;
3ddc : -738;
3ddd : -2369;
3dde : -36;
3ddf : 2714;
3de0 : 185;
3de1 : 2638;
3de2 : 3019;
3de3 : -1155;
3de4 : -685;
3de5 : 7689;
3de6 : 13871;
3de7 : 6512;
3de8 : -4317;
3de9 : -16333;
3dea : -13410;
3deb : -4332;
3dec : 6270;
3ded : 8825;
3dee : 4112;
3def : 775;
3df0 : -2375;
3df1 : -749;
3df2 : -1793;
3df3 : 32;
3df4 : 641;
3df5 : -1482;
3df6 : 2256;
3df7 : 5367;
3df8 : 4489;
3df9 : 3777;
3dfa : 2843;
3dfb : -5349;
3dfc : -7849;
3dfd : -2397;
3dfe : 5058;
3dff : 2793;
3e00 : -2200;
3e01 : -9756;
3e02 : -7221;
3e03 : -643;
3e04 : 4447;
3e05 : 6899;
3e06 : -527;
3e07 : -4253;
3e08 : -7974;
3e09 : -3438;
3e0a : 260;
3e0b : 2296;
3e0c : 2342;
3e0d : -407;
3e0e : 421;
3e0f : 1469;
3e10 : -1352;
3e11 : -3119;
3e12 : 192;
3e13 : -1228;
3e14 : -2356;
3e15 : 3838;
3e16 : 12696;
3e17 : 9255;
3e18 : -2523;
3e19 : -15029;
3e1a : -18047;
3e1b : -8069;
3e1c : 1223;
3e1d : 7256;
3e1e : 4677;
3e1f : 3114;
3e20 : -853;
3e21 : 1580;
3e22 : 3008;
3e23 : 1613;
3e24 : 2508;
3e25 : -992;
3e26 : -1255;
3e27 : -53;
3e28 : 445;
3e29 : -837;
3e2a : 771;
3e2b : -2098;
3e2c : -5074;
3e2d : -1222;
3e2e : 8705;
3e2f : 10465;
3e30 : 2134;
3e31 : -7747;
3e32 : -12143;
3e33 : -2897;
3e34 : 4492;
3e35 : 11181;
3e36 : 7023;
3e37 : 56;
3e38 : -4653;
3e39 : -3178;
3e3a : 1735;
3e3b : 888;
3e3c : 3082;
3e3d : 2606;
3e3e : 3900;
3e3f : 5855;
3e40 : 5066;
3e41 : 404;
3e42 : 165;
3e43 : -1871;
3e44 : -6246;
3e45 : -5743;
3e46 : 3190;
3e47 : 8531;
3e48 : 2301;
3e49 : -6475;
3e4a : -11742;
3e4b : -5057;
3e4c : 1430;
3e4d : 5279;
3e4e : 2688;
3e4f : -2780;
3e50 : -3692;
3e51 : -1140;
3e52 : 4548;
3e53 : 2217;
3e54 : 3333;
3e55 : -267;
3e56 : -3406;
3e57 : -2286;
3e58 : -91;
3e59 : -1656;
3e5a : -1069;
3e5b : -480;
3e5c : -2857;
3e5d : -2132;
3e5e : 5498;
3e5f : 10328;
3e60 : 2680;
3e61 : -5210;
3e62 : -11104;
3e63 : -6343;
3e64 : -1167;
3e65 : 2230;
3e66 : 1203;
3e67 : -4078;
3e68 : -5277;
3e69 : -2559;
3e6a : 4488;
3e6b : 3384;
3e6c : 2299;
3e6d : 0;
3e6e : -2776;
3e6f : -667;
3e70 : 2268;
3e71 : 1498;
3e72 : 2097;
3e73 : 5958;
3e74 : 766;
3e75 : -3767;
3e76 : -457;
3e77 : 5839;
3e78 : 104;
3e79 : -7148;
3e7a : -11115;
3e7b : -5000;
3e7c : 4408;
3e7d : 9089;
3e7e : 6841;
3e7f : -959;
3e80 : -3211;
3e81 : -2940;
3e82 : 1729;
3e83 : 888;
3e84 : 1323;
3e85 : 1850;
3e86 : -790;
3e87 : 1609;
3e88 : 3605;
3e89 : 2708;
3e8a : 762;
3e8b : 2215;
3e8c : -693;
3e8d : -3100;
3e8e : 198;
3e8f : 8686;
3e90 : 6239;
3e91 : -547;
3e92 : -5686;
3e93 : -6047;
3e94 : -1174;
3e95 : 2213;
3e96 : 2538;
3e97 : -4772;
3e98 : -6967;
3e99 : -4269;
3e9a : 2671;
3e9b : 6765;
3e9c : 6479;
3e9d : 4698;
3e9e : -52;
3e9f : -929;
3ea0 : -354;
3ea1 : -2177;
3ea2 : -4163;
3ea3 : -154;
3ea4 : 942;
3ea5 : -1284;
3ea6 : 215;
3ea7 : 5852;
3ea8 : 3172;
3ea9 : -5555;
3eaa : -11872;
3eab : -12079;
3eac : -3173;
3ead : 3615;
3eae : 7667;
3eaf : 3583;
3eb0 : 473;
3eb1 : -905;
3eb2 : 1403;
3eb3 : 1385;
3eb4 : -1349;
3eb5 : -916;
3eb6 : -3748;
3eb7 : -2602;
3eb8 : 2144;
3eb9 : 2759;
3eba : 1206;
3ebb : 2012;
3ebc : 361;
3ebd : -1464;
3ebe : 334;
3ebf : 6386;
3ec0 : 4892;
3ec1 : -3588;
3ec2 : -9073;
3ec3 : -10130;
3ec4 : -2546;
3ec5 : 2892;
3ec6 : 5290;
3ec7 : 687;
3ec8 : -4227;
3ec9 : -4702;
3eca : -525;
3ecb : 4418;
3ecc : 3173;
3ecd : 4026;
3ece : 2936;
3ecf : 2953;
3ed0 : 4308;
3ed1 : 2234;
3ed2 : -2818;
3ed3 : -3199;
3ed4 : -2738;
3ed5 : -4227;
3ed6 : -495;
3ed7 : 9729;
3ed8 : 13296;
3ed9 : 4782;
3eda : -3673;
3edb : -8432;
3edc : -3642;
3edd : 1207;
3ede : 2952;
3edf : -395;
3ee0 : -4031;
3ee1 : -2825;
3ee2 : 1008;
3ee3 : 6131;
3ee4 : 2971;
3ee5 : 387;
3ee6 : -2460;
3ee7 : -4189;
3ee8 : -987;
3ee9 : 2000;
3eea : 216;
3eeb : 26;
3eec : 1009;
3eed : 733;
3eee : 925;
3eef : 5395;
3ef0 : 7749;
3ef1 : -246;
3ef2 : -6664;
3ef3 : -9799;
3ef4 : -5324;
3ef5 : 1199;
3ef6 : 4034;
3ef7 : 1712;
3ef8 : -3732;
3ef9 : -5613;
3efa : -3863;
3efb : 2035;
3efc : 1469;
3efd : -531;
3efe : -1479;
3eff : -1002;
3f00 : 2438;
3f01 : 4606;
3f02 : 616;
3f03 : -2780;
3f04 : -2182;
3f05 : -3235;
3f06 : -2746;
3f07 : 1631;
3f08 : 7011;
3f09 : 2141;
3f0a : -3761;
3f0b : -7516;
3f0c : -3371;
3f0d : 3099;
3f0e : 4666;
3f0f : 1935;
3f10 : -4202;
3f11 : -4948;
3f12 : -3806;
3f13 : 1468;
3f14 : 2553;
3f15 : 855;
3f16 : 755;
3f17 : 171;
3f18 : 2702;
3f19 : 5700;
3f1a : 3300;
3f1b : -1112;
3f1c : -2252;
3f1d : -3385;
3f1e : -2154;
3f1f : 1113;
3f20 : 7396;
3f21 : 3672;
3f22 : -3233;
3f23 : -7663;
3f24 : -7156;
3f25 : -859;
3f26 : 3147;
3f27 : 3611;
3f28 : -187;
3f29 : -461;
3f2a : 1465;
3f2b : 6850;
3f2c : 7805;
3f2d : 1593;
3f2e : -1317;
3f2f : -2719;
3f30 : -893;
3f31 : 2069;
3f32 : 2186;
3f33 : -992;
3f34 : 623;
3f35 : 1632;
3f36 : 775;
3f37 : 2081;
3f38 : 7219;
3f39 : 5049;
3f3a : -2951;
3f3b : -8870;
3f3c : -10033;
3f3d : -2418;
3f3e : 2128;
3f3f : 3505;
3f40 : -789;
3f41 : -2722;
3f42 : -2610;
3f43 : 717;
3f44 : 3200;
3f45 : -589;
3f46 : -1533;
3f47 : -1385;
3f48 : 649;
3f49 : 4309;
3f4a : 4748;
3f4b : -309;
3f4c : -3435;
3f4d : -4027;
3f4e : -3994;
3f4f : -2756;
3f50 : 2699;
3f51 : 6089;
3f52 : 71;
3f53 : -3652;
3f54 : -4582;
3f55 : 466;
3f56 : 3350;
3f57 : 3073;
3f58 : -1603;
3f59 : -5177;
3f5a : -4957;
3f5b : -1690;
3f5c : 3346;
3f5d : 363;
3f5e : -1509;
3f5f : -2119;
3f60 : -907;
3f61 : 2190;
3f62 : 3326;
3f63 : -714;
3f64 : -3011;
3f65 : -832;
3f66 : 218;
3f67 : 2016;
3f68 : 6651;
3f69 : 9628;
3f6a : 764;
3f6b : -6702;
3f6c : -9938;
3f6d : -5219;
3f6e : -532;
3f6f : 1096;
3f70 : 843;
3f71 : -1718;
3f72 : 925;
3f73 : 3200;
3f74 : 6252;
3f75 : 2702;
3f76 : 0;
3f77 : -1590;
3f78 : -2930;
3f79 : 431;
3f7a : 4673;
3f7b : 2703;
3f7c : -574;
3f7d : 265;
3f7e : 95;
3f7f : 182;
3f80 : 2387;
3f81 : 5878;
3f82 : 905;
3f83 : -4667;
3f84 : -5198;
3f85 : -1426;
3f86 : 3783;
3f87 : 3402;
3f88 : 395;
3f89 : -6402;
3f8a : -7124;
3f8b : -4716;
3f8c : 499;
3f8d : -998;
3f8e : -2456;
3f8f : -980;
3f90 : -754;
3f91 : 2314;
3f92 : 4356;
3f93 : 676;
3f94 : -4096;
3f95 : -2240;
3f96 : -982;
3f97 : -326;
3f98 : 2082;
3f99 : 6764;
3f9a : 3467;
3f9b : -2779;
3f9c : -5597;
3f9d : -4736;
3f9e : 654;
3f9f : 2105;
3fa0 : 1911;
3fa1 : -1474;
3fa2 : -189;
3fa3 : 3248;
3fa4 : 6779;
3fa5 : 7388;
3fa6 : 4426;
3fa7 : 3940;
3fa8 : 2165;
3fa9 : 3257;
3faa : 5156;
3fab : 3719;
3fac : -1605;
3fad : -172;
3fae : 1272;
3faf : 1737;
3fb0 : 3882;
3fb1 : 7457;
3fb2 : 5364;
3fb3 : -948;
3fb4 : -2328;
3fb5 : -3298;
3fb6 : -441;
3fb7 : 1042;
3fb8 : -86;
3fb9 : -3308;
3fba : -4191;
3fbb : -3460;
3fbc : -2338;
3fbd : -1348;
3fbe : -5463;
3fbf : -5470;
3fc0 : -5505;
3fc1 : -2727;
3fc2 : 686;
3fc3 : 519;
3fc4 : -3847;
3fc5 : -3835;
3fc6 : -3609;
3fc7 : -5587;
3fc8 : -4874;
3fc9 : -1564;
3fca : -1413;
3fcb : -6780;
3fcc : -8368;
3fcd : -7833;
3fce : -1931;
3fcf : 1422;
3fd0 : 1097;
3fd1 : -1349;
3fd2 : -2491;
3fd3 : -642;
3fd4 : -93;
3fd5 : 1148;
3fd6 : -860;
3fd7 : -1061;
3fd8 : -710;
3fd9 : 459;
3fda : 2871;
3fdb : 3685;
3fdc : 838;
3fdd : -146;
3fde : 2563;
3fdf : 2805;
3fe0 : 4761;
3fe1 : 7451;
3fe2 : 9996;
3fe3 : 4973;
3fe4 : 2153;
3fe5 : 446;
3fe6 : 3190;
3fe7 : 5761;
3fe8 : 4353;
3fe9 : 873;
3fea : -604;
3feb : 1223;
3fec : 2814;
3fed : 5956;
3fee : 2782;
3fef : 37;
3ff0 : 536;
3ff1 : 1025;
3ff2 : 3023;
3ff3 : 3002;
3ff4 : -806;
3ff5 : -3239;
3ff6 : -191;
3ff7 : 544;
3ff8 : 999;
3ff9 : 1629;
3ffa : 3048;
3ffb : -1689;
3ffc : -5538;
3ffd : -7311;
3ffe : -4843;
3fff : -590;
4000 : -1501;
4001 : -2402;
4002 : -3420;
4003 : -886;
4004 : 708;
4005 : 2873;
4006 : 399;
4007 : -3834;
4008 : -4785;
4009 : -3452;
400a : -422;
400b : 3187;
400c : 2267;
400d : -960;
400e : 921;
400f : 1936;
4010 : 1838;
4011 : 1832;
4012 : 3267;
4013 : 915;
4014 : -2141;
4015 : -1708;
4016 : -1138;
4017 : 766;
4018 : -1296;
4019 : -3413;
401a : -6143;
401b : -3380;
401c : 885;
401d : 5588;
401e : 5585;
401f : 2032;
4020 : 1949;
4021 : 2806;
4022 : 3632;
4023 : 3518;
4024 : 469;
4025 : -3523;
4026 : -2548;
4027 : -253;
4028 : 309;
4029 : 551;
402a : 3132;
402b : 2160;
402c : -2737;
402d : -3547;
402e : -2584;
402f : -282;
4030 : -2127;
4031 : -5022;
4032 : -7417;
4033 : -5772;
4034 : -1596;
4035 : 1061;
4036 : 952;
4037 : -2924;
4038 : -3615;
4039 : -1975;
403a : 153;
403b : 1883;
403c : 2294;
403d : -1179;
403e : 131;
403f : 2453;
4040 : 2445;
4041 : 1222;
4042 : 1761;
4043 : 1155;
4044 : -2167;
4045 : -2595;
4046 : -708;
4047 : 3086;
4048 : 4415;
4049 : 2767;
404a : 1278;
404b : 344;
404c : 2293;
404d : 3548;
404e : 3188;
404f : -2113;
4050 : -3633;
4051 : -2055;
4052 : 686;
4053 : 3411;
4054 : 3181;
4055 : -910;
4056 : -1147;
4057 : 1313;
4058 : 1607;
4059 : 1096;
405a : 1717;
405b : 2524;
405c : -1026;
405d : -2544;
405e : -2219;
405f : -165;
4060 : -153;
4061 : -2983;
4062 : -5101;
4063 : -6227;
4064 : -4380;
4065 : -1908;
4066 : -731;
4067 : -2979;
4068 : -5164;
4069 : -3708;
406a : -2748;
406b : -875;
406c : 441;
406d : -2267;
406e : -4564;
406f : -1042;
4070 : 1301;
4071 : 1960;
4072 : 2699;
4073 : 3530;
4074 : 69;
4075 : -1345;
4076 : -1083;
4077 : 971;
4078 : 2611;
4079 : 1668;
407a : 555;
407b : 257;
407c : 2560;
407d : 6260;
407e : 8438;
407f : 5991;
4080 : 2974;
4081 : 4476;
4082 : 4393;
4083 : 4659;
4084 : 4885;
4085 : 2449;
4086 : 487;
4087 : 3812;
4088 : 6368;
4089 : 6993;
408a : 6817;
408b : 7294;
408c : 3181;
408d : -1424;
408e : -1559;
408f : 304;
4090 : 2128;
4091 : 494;
4092 : -1873;
4093 : -3484;
4094 : -2925;
4095 : -429;
4096 : 352;
4097 : -1736;
4098 : -4963;
4099 : -4169;
409a : -1850;
409b : -705;
409c : 488;
409d : -2344;
409e : -6611;
409f : -6339;
40a0 : -4395;
40a1 : -5402;
40a2 : -5322;
40a3 : -3893;
40a4 : -4386;
40a5 : -5997;
40a6 : -3981;
40a7 : -2045;
40a8 : 213;
40a9 : -1243;
40aa : -3860;
40ab : -6545;
40ac : -6318;
40ad : -2677;
40ae : 270;
40af : -236;
40b0 : -3223;
40b1 : -3138;
40b2 : -936;
40b3 : 185;
40b4 : 1745;
40b5 : 355;
40b6 : -1927;
40b7 : 851;
40b8 : 5095;
40b9 : 5813;
40ba : 5328;
40bb : 5828;
40bc : 3159;
40bd : -2033;
40be : -1980;
40bf : -216;
40c0 : 2398;
40c1 : 3806;
40c2 : 2283;
40c3 : 1611;
40c4 : 2388;
40c5 : 5638;
40c6 : 6816;
40c7 : 6530;
40c8 : 1836;
40c9 : -774;
40ca : -130;
40cb : 964;
40cc : 3605;
40cd : 4646;
40ce : 1645;
40cf : 415;
40d0 : 1919;
40d1 : 404;
40d2 : -981;
40d3 : -334;
40d4 : -810;
40d5 : -3487;
40d6 : -3652;
40d7 : -3334;
40d8 : -2110;
40d9 : -1111;
40da : -3768;
40db : -5999;
40dc : -8548;
40dd : -7607;
40de : -6062;
40df : -4866;
40e0 : -5438;
40e1 : -5848;
40e2 : -3790;
40e3 : -2303;
40e4 : -4661;
40e5 : -7939;
40e6 : -8041;
40e7 : -9459;
40e8 : 12;
40e9 : -2876;
40ea : 1988;
40eb : 9259;
40ec : 8170;
40ed : 8841;
40ee : 7162;
40ef : 7456;
40f0 : 6478;
40f1 : 6895;
40f2 : 5134;
40f3 : 4530;
40f4 : 5755;
40f5 : 9415;
40f6 : 11636;
40f7 : 12258;
40f8 : 9943;
40f9 : 4962;
40fa : 4487;
40fb : 5379;
40fc : 6903;
40fd : 6515;
40fe : 4266;
40ff : 2658;
4100 : 5576;
4101 : 8528;
4102 : 8921;
4103 : 8802;
4104 : 9245;
4105 : 6038;
4106 : 3069;
4107 : 801;
4108 : -2195;
4109 : 448;
410a : -1027;
410b : -3550;
410c : -7504;
410d : -12437;
410e : -9399;
410f : -7683;
4110 : -8321;
4111 : -10575;
4112 : -10712;
4113 : -6947;
4114 : -5591;
4115 : -5202;
4116 : -3914;
4117 : -6273;
4118 : -5137;
4119 : -4869;
411a : -4359;
411b : -3499;
411c : -2711;
411d : -3990;
411e : -7061;
411f : -6750;
4120 : -5473;
4121 : -3932;
4122 : -5324;
4123 : -7191;
4124 : -7669;
4125 : -6697;
4126 : -4934;
4127 : -4116;
4128 : -3905;
4129 : -6981;
412a : -6285;
412b : -4848;
412c : -4445;
412d : -4462;
412e : -5225;
412f : -4764;
4130 : -2900;
4131 : 2316;
4132 : 2284;
4133 : 2138;
4134 : 3132;
4135 : 1140;
4136 : -1459;
4137 : -2723;
4138 : -1972;
4139 : 1429;
413a : 318;
413b : -1857;
413c : -1519;
413d : -1585;
413e : 1820;
413f : 4000;
4140 : 4384;
4141 : 1440;
4142 : -485;
4143 : 2468;
4144 : 3173;
4145 : 3381;
4146 : 4397;
4147 : 3479;
4148 : 3098;
4149 : 5448;
414a : 4066;
414b : 2878;
414c : 3659;
414d : 3984;
414e : 3775;
414f : 5309;
4150 : 5892;
4151 : 7349;
4152 : 8811;
4153 : 7024;
4154 : 7287;
4155 : 7861;
4156 : 9470;
4157 : 10352;
4158 : 11644;
4159 : 9205;
415a : 8073;
415b : 9247;
415c : 9093;
415d : 9266;
415e : 8773;
415f : 6733;
4160 : 6618;
4161 : 9082;
4162 : 9153;
4163 : 8281;
4164 : 6224;
4165 : 5930;
4166 : 5114;
4167 : 6084;
4168 : 6496;
4169 : 7326;
416a : 6086;
416b : 2300;
416c : 449;
416d : -583;
416e : 1722;
416f : 2920;
4170 : 3797;
4171 : 1696;
4172 : -1212;
4173 : -1751;
4174 : -2300;
4175 : -4359;
4176 : -5373;
4177 : -7570;
4178 : -9965;
4179 : -9223;
417a : -8041;
417b : -7419;
417c : -7719;
417d : -5708;
417e : -7538;
417f : -9882;
4180 : -9883;
4181 : -9069;
4182 : -7893;
4183 : -8373;
4184 : -8797;
4185 : -8216;
4186 : -7113;
4187 : -6385;
4188 : -5367;
4189 : -5700;
418a : -8143;
418b : -6315;
418c : -5563;
418d : -4838;
418e : -3169;
418f : -2513;
4190 : -1660;
4191 : 672;
4192 : 1752;
4193 : -1133;
4194 : -2357;
4195 : -1023;
4196 : -713;
4197 : -1537;
4198 : -847;
4199 : 740;
419a : 2818;
419b : 1635;
419c : -584;
419d : -1427;
419e : -2429;
419f : -420;
41a0 : -378;
41a1 : -1905;
41a2 : -3998;
41a3 : -1826;
41a4 : -303;
41a5 : -484;
41a6 : -1222;
41a7 : -1738;
41a8 : -3156;
41a9 : -1671;
41aa : 1223;
41ab : 130;
41ac : -1457;
41ad : -2641;
41ae : -3671;
41af : -6869;
41b0 : -7377;
41b1 : -6607;
41b2 : -5921;
41b3 : -6247;
41b4 : -6463;
41b5 : -6826;
41b6 : -6298;
41b7 : -3380;
41b8 : -1156;
41b9 : 330;
41ba : -643;
41bb : -630;
41bc : 296;
41bd : 1068;
41be : 1077;
41bf : 1605;
41c0 : 812;
41c1 : 1782;
41c2 : 5318;
41c3 : 5875;
41c4 : 3715;
41c5 : 3864;
41c6 : 4346;
41c7 : 2440;
41c8 : 3417;
41c9 : 5011;
41ca : 6165;
41cb : 6340;
41cc : 5276;
41cd : 3522;
41ce : 2989;
41cf : 4985;
41d0 : 5980;
41d1 : 7304;
41d2 : 7831;
41d3 : 7948;
41d4 : 8349;
41d5 : 8010;
41d6 : 7154;
41d7 : 6431;
41d8 : 4480;
41d9 : 3830;
41da : 5211;
41db : 6215;
41dc : 4742;
41dd : 4124;
41de : 4674;
41df : 2629;
41e0 : 2473;
41e1 : 1426;
41e2 : -925;
41e3 : -2035;
41e4 : -3689;
41e5 : -4549;
41e6 : -4526;
41e7 : -2897;
41e8 : -1895;
41e9 : -2776;
41ea : -3934;
41eb : -5690;
41ec : -5942;
41ed : -6730;
41ee : -7855;
41ef : -6944;
41f0 : -6249;
41f1 : -5069;
41f2 : -3258;
41f3 : -3093;
41f4 : -3774;
41f5 : -4404;
41f6 : -4124;
41f7 : -4290;
41f8 : -4077;
41f9 : -2151;
41fa : -1320;
41fb : 88;
41fc : -826;
41fd : -2281;
41fe : -1769;
41ff : -1005;
4200 : -1034;
4201 : -1433;
4202 : -1368;
4203 : -2770;
4204 : -1629;
4205 : -590;
4206 : -492;
4207 : -346;
4208 : 195;
4209 : 159;
420a : 1706;
420b : 3218;
420c : 1819;
420d : 2294;
420e : 2769;
420f : 3253;
4210 : 2384;
4211 : 924;
4212 : 853;
4213 : 2084;
4214 : 1789;
4215 : 211;
4216 : -295;
4217 : -364;
4218 : 215;
4219 : 50;
421a : -317;
421b : -1742;
421c : -1158;
421d : 408;
421e : -313;
421f : -546;
4220 : -815;
4221 : -239;
4222 : 1535;
4223 : 3284;
4224 : 4112;
4225 : 2838;
4226 : 3350;
4227 : 3755;
4228 : 1194;
4229 : -100;
422a : -282;
422b : 1208;
422c : 1713;
422d : 1754;
422e : 1455;
422f : 1302;
4230 : 2345;
4231 : 1719;
4232 : 2265;
4233 : 2427;
4234 : 2506;
4235 : 4058;
4236 : 3685;
4237 : 2487;
4238 : 2913;
4239 : 1750;
423a : 1309;
423b : 3937;
423c : 4705;
423d : 3366;
423e : 3640;
423f : 4718;
4240 : 3383;
4241 : 2622;
4242 : 2214;
4243 : 1727;
4244 : 1645;
4245 : 311;
4246 : -1011;
4247 : -1617;
4248 : -1333;
4249 : -1090;
424a : -71;
424b : 465;
424c : 1155;
424d : 2551;
424e : 795;
424f : -748;
4250 : -1610;
4251 : -2983;
4252 : -3483;
4253 : -1249;
4254 : -68;
4255 : -1548;
4256 : -2055;
4257 : -3197;
4258 : -4877;
4259 : -4185;
425a : -2209;
425b : -320;
425c : 1285;
425d : 910;
425e : 127;
425f : -1409;
4260 : -2094;
4261 : -2040;
4262 : -2697;
4263 : -2250;
4264 : -2136;
4265 : -832;
4266 : -1298;
4267 : -2247;
4268 : -2081;
4269 : -2260;
426a : -2160;
426b : -158;
426c : 1129;
426d : 744;
426e : 1165;
426f : 1456;
4270 : 426;
4271 : -833;
4272 : -662;
4273 : -548;
4274 : 1068;
4275 : 1208;
4276 : 836;
4277 : 940;
4278 : 2097;
4279 : 3182;
427a : 1590;
427b : 1205;
427c : -184;
427d : 220;
427e : -13;
427f : -1226;
4280 : -2198;
4281 : -1621;
4282 : -323;
4283 : 1041;
4284 : 2206;
4285 : 765;
4286 : -1066;
4287 : -966;
4288 : -1291;
4289 : -2153;
428a : -1468;
428b : -2165;
428c : -1617;
428d : -2026;
428e : -3677;
428f : -4641;
4290 : -3947;
4291 : -2655;
4292 : -2779;
4293 : -1662;
4294 : -1656;
4295 : -1766;
4296 : 45;
4297 : -28;
4298 : -880;
4299 : -414;
429a : -636;
429b : 367;
429c : 2334;
429d : 2902;
429e : 2832;
429f : 3365;
42a0 : 3721;
42a1 : 2560;
42a2 : 1520;
42a3 : -404;
42a4 : 413;
42a5 : 907;
42a6 : 362;
42a7 : 377;
42a8 : 481;
42a9 : 1882;
42aa : 1295;
42ab : 1948;
42ac : 1778;
42ad : 1736;
42ae : 2377;
42af : 2246;
42b0 : 1596;
42b1 : 1400;
42b2 : 1600;
42b3 : 801;
42b4 : 2090;
42b5 : 3057;
42b6 : 2034;
42b7 : 1030;
42b8 : 1164;
42b9 : 385;
42ba : 342;
42bb : -3;
42bc : -193;
42bd : -127;
42be : -1413;
42bf : -1964;
42c0 : -2714;
42c1 : -2752;
42c2 : -3923;
42c3 : -4542;
42c4 : -4316;
42c5 : -4721;
42c6 : -2258;
42c7 : -1919;
42c8 : -2153;
42c9 : -1234;
42ca : -1664;
42cb : -2907;
42cc : -2463;
42cd : -780;
42ce : -248;
42cf : 1152;
42d0 : 2050;
42d1 : 1015;
42d2 : 344;
42d3 : -288;
42d4 : 339;
42d5 : 1836;
42d6 : 1751;
42d7 : 1684;
42d8 : 1620;
42d9 : 2276;
42da : 2487;
42db : 2069;
42dc : 1866;
42dd : 1306;
42de : 1925;
42df : 1453;
42e0 : -25;
42e1 : 467;
42e2 : 1141;
42e3 : 391;
42e4 : 950;
42e5 : 2701;
42e6 : 2679;
42e7 : 2369;
42e8 : 2477;
42e9 : 821;
42ea : -593;
42eb : -56;
42ec : 123;
42ed : 2102;
42ee : 2941;
42ef : 2561;
42f0 : 1739;
42f1 : 1273;
42f2 : 755;
42f3 : -1649;
42f4 : -2957;
42f5 : -4653;
42f6 : -2559;
42f7 : -798;
42f8 : -1682;
42f9 : -2443;
42fa : -2823;
42fb : -4018;
42fc : -4134;
42fd : -2218;
42fe : -1568;
42ff : -1190;
4300 : 687;
4301 : 495;
4302 : -1058;
4303 : -1699;
4304 : -2322;
4305 : -1349;
4306 : -1683;
4307 : -1879;
4308 : -2136;
4309 : -1363;
430a : 303;
430b : 924;
430c : 1285;
430d : 857;
430e : 173;
430f : -39;
4310 : -1169;
4311 : -1003;
4312 : 119;
4313 : -20;
4314 : 105;
4315 : 1637;
4316 : 3415;
4317 : 2587;
4318 : 2974;
4319 : 3027;
431a : 1712;
431b : 1541;
431c : 967;
431d : 1275;
431e : 1847;
431f : 1582;
4320 : 777;
4321 : 123;
4322 : 62;
4323 : -200;
4324 : -359;
4325 : -461;
4326 : -198;
4327 : 743;
4328 : 506;
4329 : 243;
432a : 621;
432b : 315;
432c : -896;
432d : -984;
432e : -70;
432f : -1339;
4330 : -890;
4331 : -266;
4332 : -899;
4333 : -1035;
4334 : -1360;
4335 : -994;
4336 : -416;
4337 : -1091;
4338 : -1947;
4339 : -1816;
433a : -1086;
433b : -191;
433c : 202;
433d : 1040;
433e : 203;
433f : 576;
4340 : 147;
4341 : -377;
4342 : 948;
4343 : 1575;
4344 : -124;
4345 : -46;
4346 : 1755;
4347 : 1411;
4348 : 2010;
4349 : 3005;
434a : 1898;
434b : 1256;
434c : 1279;
434d : 134;
434e : 1686;
434f : 1791;
4350 : 1205;
4351 : 884;
4352 : 1021;
4353 : 1089;
4354 : 1226;
4355 : 450;
4356 : -892;
4357 : 10;
4358 : -364;
4359 : -1130;
435a : -814;
435b : 417;
435c : -295;
435d : -540;
435e : 229;
435f : -587;
4360 : -535;
4361 : 196;
4362 : -1141;
4363 : -2303;
4364 : -2436;
4365 : -3293;
4366 : -2373;
4367 : -2176;
4368 : -2292;
4369 : -2570;
436a : -2449;
436b : -1744;
436c : -1930;
436d : -1913;
436e : -2386;
436f : -1970;
4370 : -1431;
4371 : -1372;
4372 : -1368;
4373 : -511;
4374 : -1065;
4375 : -1332;
4376 : 129;
4377 : 1552;
4378 : 1166;
4379 : 2463;
437a : 2556;
437b : 1617;
437c : 1898;
437d : 1054;
437e : 1231;
437f : 3395;
4380 : 6797;
4381 : 5730;
4382 : 1443;
4383 : 193;
4384 : -1943;
4385 : -8778;
4386 : -9809;
4387 : -8617;
4388 : -2287;
4389 : 5422;
438a : 10031;
438b : 11297;
438c : 7279;
438d : 1475;
438e : 1938;
438f : 1988;
4390 : 2008;
4391 : 2819;
4392 : 5590;
4393 : 3249;
4394 : -2005;
4395 : -4122;
4396 : -7874;
4397 : -7582;
4398 : -4635;
4399 : -1518;
439a : 1765;
439b : 3450;
439c : 850;
439d : -3632;
439e : -708;
439f : 1037;
43a0 : 715;
43a1 : 4466;
43a2 : 4809;
43a3 : 3043;
43a4 : -5443;
43a5 : -13990;
43a6 : -15872;
43a7 : -9919;
43a8 : 695;
43a9 : 8420;
43aa : 10688;
43ab : 6648;
43ac : 3556;
43ad : 3979;
43ae : -903;
43af : 638;
43b0 : 1198;
43b1 : 3177;
43b2 : 1512;
43b3 : -653;
43b4 : -1354;
43b5 : -4787;
43b6 : -4643;
43b7 : -4353;
43b8 : -2004;
43b9 : 3964;
43ba : 3876;
43bb : 2194;
43bc : 1168;
43bd : 3686;
43be : 3213;
43bf : 2947;
43c0 : 8268;
43c1 : 8825;
43c2 : 4394;
43c3 : -6151;
43c4 : -14513;
43c5 : -14843;
43c6 : -9400;
43c7 : 1227;
43c8 : 4160;
43c9 : 4136;
43ca : 1155;
43cb : 3005;
43cc : 2884;
43cd : 562;
43ce : 2017;
43cf : 1756;
43d0 : 1563;
43d1 : -2255;
43d2 : -5110;
43d3 : -7281;
43d4 : -9581;
43d5 : -8646;
43d6 : -8228;
43d7 : -2319;
43d8 : 3477;
43d9 : 2491;
43da : 737;
43db : 524;
43dc : 2993;
43dd : 2616;
43de : 4747;
43df : 10210;
43e0 : 7471;
43e1 : -1042;
43e2 : -12224;
43e3 : -16760;
43e4 : -11404;
43e5 : -1299;
43e6 : 6210;
43e7 : 3630;
43e8 : 2236;
43e9 : 2231;
43ea : 3802;
43eb : 3203;
43ec : 3421;
43ed : 4574;
43ee : 5069;
43ef : 3185;
43f0 : 1515;
43f1 : 1020;
43f2 : -860;
43f3 : -2588;
43f4 : -2769;
43f5 : -1603;
43f6 : 4605;
43f7 : 8225;
43f8 : 7332;
43f9 : 2222;
43fa : 1263;
43fb : -11;
43fc : 234;
43fd : 6317;
43fe : 12014;
43ff : 7498;
4400 : -2212;
4401 : -12953;
4402 : -15861;
4403 : -11238;
4404 : -901;
4405 : 3967;
4406 : 4220;
4407 : 3701;
4408 : 3491;
4409 : 743;
440a : -2452;
440b : -2622;
440c : -2376;
440d : -1009;
440e : -2083;
440f : -2459;
4410 : -651;
4411 : -2914;
4412 : -2984;
4413 : -4422;
4414 : -3370;
4415 : 1351;
4416 : 2926;
4417 : -884;
4418 : -3508;
4419 : -76;
441a : -1481;
441b : 257;
441c : 6210;
441d : 8121;
441e : 2349;
441f : -5452;
4420 : -11076;
4421 : -9468;
4422 : -3782;
4423 : 4976;
4424 : 4720;
4425 : 4518;
4426 : 4478;
4427 : 3797;
4428 : 2295;
4429 : -4;
442a : -763;
442b : 24;
442c : 453;
442d : -840;
442e : 581;
442f : 1011;
4430 : -1922;
4431 : -860;
4432 : -2939;
4433 : -524;
4434 : 3193;
4435 : 3898;
4436 : -554;
4437 : -260;
4438 : 2593;
4439 : 715;
443a : 3025;
443b : 8961;
443c : 6520;
443d : -833;
443e : -9559;
443f : -13602;
4440 : -10395;
4441 : -3939;
4442 : 1059;
4443 : 738;
4444 : 1396;
4445 : 1530;
4446 : 3338;
4447 : 1349;
4448 : -520;
4449 : 44;
444a : -142;
444b : -2895;
444c : -3826;
444d : -1325;
444e : -1585;
444f : -1290;
4450 : 899;
4451 : 177;
4452 : 2739;
4453 : 4530;
4454 : 2040;
4455 : -1455;
4456 : 2520;
4457 : 2752;
4458 : 2701;
4459 : 7176;
445a : 9273;
445b : 3211;
445c : -3793;
445d : -10969;
445e : -9317;
445f : -4783;
4460 : 2056;
4461 : 4309;
4462 : 3670;
4463 : 1951;
4464 : 1407;
4465 : 2046;
4466 : 1441;
4467 : 2364;
4468 : 4143;
4469 : 2287;
446a : -1774;
446b : -2272;
446c : -2036;
446d : -3997;
446e : -1925;
446f : -336;
4470 : 1477;
4471 : 4651;
4472 : 3071;
4473 : -1875;
4474 : -3378;
4475 : -96;
4476 : -496;
4477 : 807;
4478 : 4315;
4479 : 4708;
447a : -1034;
447b : -9219;
447c : -14258;
447d : -12469;
447e : -7422;
447f : 409;
4480 : 3276;
4481 : 4868;
4482 : 3450;
4483 : 3406;
4484 : 2937;
4485 : 1990;
4486 : 3514;
4487 : 4778;
4488 : 1923;
4489 : 45;
448a : -1130;
448b : -2946;
448c : -4639;
448d : -1606;
448e : -810;
448f : 2854;
4490 : 4926;
4491 : 1852;
4492 : -1896;
4493 : 251;
4494 : 2686;
4495 : 3026;
4496 : 6016;
4497 : 10237;
4498 : 8271;
4499 : 2126;
449a : -7330;
449b : -10992;
449c : -9301;
449d : -4466;
449e : 816;
449f : 3047;
44a0 : 3777;
44a1 : 4258;
44a2 : 3512;
44a3 : 1523;
44a4 : 2300;
44a5 : 3863;
44a6 : 2775;
44a7 : -539;
44a8 : -2719;
44a9 : -3074;
44aa : -5173;
44ab : -5842;
44ac : -5221;
44ad : -4687;
44ae : -1347;
44af : -1118;
44b0 : -3945;
44b1 : -4599;
44b2 : -639;
44b3 : -28;
44b4 : 1545;
44b5 : 6278;
44b6 : 10315;
44b7 : 5988;
44b8 : -2570;
44b9 : -10443;
44ba : -11374;
44bb : -9848;
44bc : -3264;
44bd : 983;
44be : 2196;
44bf : 2589;
44c0 : 2428;
44c1 : 1588;
44c2 : 2303;
44c3 : 3796;
44c4 : 3878;
44c5 : 1638;
44c6 : -764;
44c7 : -333;
44c8 : 1014;
44c9 : 268;
44ca : 663;
44cb : -177;
44cc : 1417;
44cd : 3237;
44ce : 1327;
44cf : -1752;
44d0 : -75;
44d1 : 3860;
44d2 : 4001;
44d3 : 4611;
44d4 : 11112;
44d5 : 12201;
44d6 : 6434;
44d7 : -3229;
44d8 : -10050;
44d9 : -11629;
44da : -8120;
44db : -1085;
44dc : 127;
44dd : 691;
44de : 1092;
44df : 1067;
44e0 : 1382;
44e1 : 3075;
44e2 : 3443;
44e3 : 912;
44e4 : -2148;
44e5 : -2404;
44e6 : -692;
44e7 : -148;
44e8 : -2142;
44e9 : -2800;
44ea : -3315;
44eb : -2541;
44ec : -2109;
44ed : -3876;
44ee : -5493;
44ef : -1498;
44f0 : 1345;
44f1 : 495;
44f2 : 3834;
44f3 : 10617;
44f4 : 7429;
44f5 : 98;
44f6 : -8723;
44f7 : -12012;
44f8 : -10373;
44f9 : -3069;
44fa : 2495;
44fb : 1799;
44fc : 611;
44fd : 558;
44fe : 554;
44ff : 2229;
4500 : 4492;
4501 : 5267;
4502 : 2689;
4503 : -1377;
4504 : -1167;
4505 : 1324;
4506 : 1336;
4507 : 824;
4508 : 1088;
4509 : 438;
450a : 1106;
450b : 246;
450c : -3602;
450d : -3856;
450e : -124;
450f : 54;
4510 : -449;
4511 : 6245;
4512 : 11805;
4513 : 7708;
4514 : -309;
4515 : -7097;
4516 : -9198;
4517 : -6569;
4518 : -206;
4519 : 1355;
451a : -429;
451b : -1675;
451c : -2196;
451d : -1450;
451e : 1294;
451f : 1607;
4520 : 468;
4521 : -2825;
4522 : -3411;
4523 : 194;
4524 : 1587;
4525 : -1084;
4526 : -736;
4527 : -743;
4528 : 522;
4529 : 2635;
452a : 1976;
452b : -2138;
452c : -2018;
452d : -344;
452e : -1114;
452f : 969;
4530 : 10115;
4531 : 11802;
4532 : 6917;
4533 : -2559;
4534 : -8813;
4535 : -8065;
4536 : -1830;
4537 : 5468;
4538 : 4868;
4539 : 1685;
453a : -274;
453b : -186;
453c : 1371;
453d : 2153;
453e : 1432;
453f : -546;
4540 : -3653;
4541 : -1590;
4542 : 3300;
4543 : 2782;
4544 : -128;
4545 : -340;
4546 : -715;
4547 : 574;
4548 : 2585;
4549 : 496;
454a : -1846;
454b : 615;
454c : -1542;
454d : -4138;
454e : 126;
454f : 6478;
4550 : 4071;
4551 : -2077;
4552 : -9663;
4553 : -10887;
4554 : -8207;
4555 : -2850;
4556 : -312;
4557 : -1624;
4558 : -2903;
4559 : -1481;
455a : 1349;
455b : 3376;
455c : 1781;
455d : -616;
455e : -3506;
455f : -5062;
4560 : -416;
4561 : 3410;
4562 : 2741;
4563 : 1250;
4564 : 363;
4565 : 690;
4566 : 2368;
4567 : 2623;
4568 : -892;
4569 : -1722;
456a : -497;
456b : -1203;
456c : 84;
456d : 6821;
456e : 9353;
456f : 4668;
4570 : -3788;
4571 : -8487;
4572 : -5839;
4573 : -462;
4574 : 4763;
4575 : 4802;
4576 : 2763;
4577 : 859;
4578 : 1681;
4579 : 3346;
457a : 3978;
457b : 1934;
457c : -1264;
457d : -4923;
457e : -3915;
457f : 922;
4580 : 2946;
4581 : 817;
4582 : 112;
4583 : 548;
4584 : 278;
4585 : 136;
4586 : -2556;
4587 : -6140;
4588 : -5646;
4589 : -4257;
458a : -2177;
458b : 2329;
458c : 8368;
458d : 6781;
458e : 665;
458f : -7747;
4590 : -10312;
4591 : -7451;
4592 : -1781;
4593 : 2905;
4594 : 1499;
4595 : -623;
4596 : -613;
4597 : 1667;
4598 : 3211;
4599 : 2948;
459a : 1102;
459b : -1188;
459c : -2916;
459d : 69;
459e : 2670;
459f : 1778;
45a0 : -787;
45a1 : -1134;
45a2 : 651;
45a3 : 3539;
45a4 : 4767;
45a5 : 855;
45a6 : -1733;
45a7 : -1086;
45a8 : -322;
45a9 : 1739;
45aa : 7280;
45ab : 12161;
45ac : 8572;
45ad : 269;
45ae : -6773;
45af : -6850;
45b0 : -4036;
45b1 : -281;
45b2 : 1922;
45b3 : 45;
45b4 : -81;
45b5 : 1986;
45b6 : 3138;
45b7 : 4038;
45b8 : 2418;
45b9 : -296;
45ba : -2282;
45bb : -2779;
45bc : 161;
45bd : 715;
45be : -1725;
45bf : -3850;
45c0 : -3715;
45c1 : -2375;
45c2 : -656;
45c3 : 432;
45c4 : -3563;
45c5 : -4977;
45c6 : -3153;
45c7 : -918;
45c8 : 2647;
45c9 : 8347;
45ca : 9423;
45cb : 3243;
45cc : -4698;
45cd : -8143;
45ce : -7536;
45cf : -5134;
45d0 : -755;
45d1 : -230;
45d2 : -1282;
45d3 : -257;
45d4 : 1832;
45d5 : 2685;
45d6 : 2684;
45d7 : 1501;
45d8 : 2;
45d9 : -1214;
45da : 438;
45db : 4189;
45dc : 3679;
45dd : -86;
45de : -1575;
45df : -478;
45e0 : 1372;
45e1 : 2927;
45e2 : 1518;
45e3 : -2320;
45e4 : -2046;
45e5 : -974;
45e6 : -964;
45e7 : 1194;
45e8 : 6520;
45e9 : 5576;
45ea : 406;
45eb : -4000;
45ec : -4934;
45ed : -4784;
45ee : -2931;
45ef : 706;
45f0 : -750;
45f1 : -2152;
45f2 : -1201;
45f3 : 674;
45f4 : 1452;
45f5 : 903;
45f6 : -1179;
45f7 : -2514;
45f8 : -3593;
45f9 : -1244;
45fa : 751;
45fb : -502;
45fc : -2870;
45fd : -1718;
45fe : -499;
45ff : 130;
4600 : 1879;
4601 : -1219;
4602 : -4464;
4603 : -2339;
4604 : -14;
4605 : 292;
4606 : 4610;
4607 : 9776;
4608 : 7425;
4609 : 2275;
460a : -1855;
460b : -3484;
460c : -3071;
460d : -479;
460e : 1392;
460f : 147;
4610 : 320;
4611 : 2289;
4612 : 2970;
4613 : 2616;
4614 : 1963;
4615 : 1347;
4616 : -202;
4617 : -2102;
4618 : 1827;
4619 : 3528;
461a : 2149;
461b : 1835;
461c : 3368;
461d : 2014;
461e : 1138;
461f : 642;
4620 : -3194;
4621 : -4795;
4622 : -2270;
4623 : -1353;
4624 : -15;
4625 : 4951;
4626 : 6336;
4627 : 2110;
4628 : -2357;
4629 : -4525;
462a : -5594;
462b : -4617;
462c : -1339;
462d : -689;
462e : -2520;
462f : -2911;
4630 : -2041;
4631 : -971;
4632 : -930;
4633 : -1074;
4634 : -598;
4635 : -2887;
4636 : -3578;
4637 : 439;
4638 : 1845;
4639 : 1204;
463a : 2440;
463b : 3115;
463c : 1668;
463d : 2109;
463e : -461;
463f : -4781;
4640 : -3969;
4641 : -947;
4642 : -930;
4643 : 2070;
4644 : 6956;
4645 : 7560;
4646 : 3679;
4647 : -1618;
4648 : -4199;
4649 : -4512;
464a : -2838;
464b : 1663;
464c : 2387;
464d : 1016;
464e : 621;
464f : 1481;
4650 : 2744;
4651 : 2556;
4652 : 2076;
4653 : 168;
4654 : -3414;
4655 : -2228;
4656 : 387;
4657 : 743;
4658 : 1542;
4659 : 3708;
465a : 3710;
465b : 2012;
465c : 1968;
465d : -2650;
465e : -5841;
465f : -4580;
4660 : -3320;
4661 : -2425;
4662 : 1948;
4663 : 4970;
4664 : 3116;
4665 : -2018;
4666 : -6616;
4667 : -8203;
4668 : -6532;
4669 : -2012;
466a : 1899;
466b : 1127;
466c : -349;
466d : -89;
466e : 341;
466f : 394;
4670 : 382;
4671 : 550;
4672 : -1260;
4673 : -3583;
4674 : 24;
4675 : 1965;
4676 : 2485;
4677 : 2300;
4678 : 2413;
4679 : 1268;
467a : 1400;
467b : 1718;
467c : -1141;
467d : -1784;
467e : -569;
467f : -715;
4680 : 1851;
4681 : 7304;
4682 : 9082;
4683 : 6118;
4684 : -15;
4685 : -4144;
4686 : -5180;
4687 : -3371;
4688 : 1833;
4689 : 4368;
468a : 2371;
468b : -190;
468c : -614;
468d : -140;
468e : 1267;
468f : 2003;
4690 : 1210;
4691 : -1604;
4692 : -1932;
4693 : 881;
4694 : 1485;
4695 : 1660;
4696 : 1261;
4697 : 669;
4698 : 46;
4699 : 2651;
469a : 482;
469b : -2912;
469c : -4147;
469d : -3196;
469e : -4301;
469f : -785;
46a0 : 3738;
46a1 : 6261;
46a2 : 3657;
46a3 : -4733;
46a4 : -7603;
46a5 : -8550;
46a6 : -5640;
46a7 : 173;
46a8 : -590;
46a9 : -2103;
46aa : -659;
46ab : -2374;
46ac : -882;
46ad : -3424;
46ae : -1897;
46af : -3823;
46b0 : -5893;
46b1 : -717;
46b2 : 1966;
46b3 : 2087;
46b4 : 1359;
46b5 : -3388;
46b6 : -1709;
46b7 : -2846;
46b8 : 3102;
46b9 : 5036;
46ba : 2462;
46bb : 4014;
46bc : 5622;
46bd : 6075;
46be : 9008;
46bf : 13276;
46c0 : 12684;
46c1 : 6223;
46c2 : 3467;
46c3 : 1900;
46c4 : -750;
46c5 : 876;
46c6 : 3097;
46c7 : -6777;
46c8 : -8948;
46c9 : -9163;
46ca : -1981;
46cb : 2900;
46cc : 5040;
46cd : 2712;
46ce : -2052;
46cf : -5040;
46d0 : -1419;
46d1 : 1268;
46d2 : 2097;
46d3 : 815;
46d4 : 166;
46d5 : -3909;
46d6 : -5705;
46d7 : -3973;
46d8 : -8618;
46d9 : -12937;
46da : -12608;
46db : -11166;
46dc : -5681;
46dd : 2902;
46de : 8955;
46df : 8550;
46e0 : 757;
46e1 : -2723;
46e2 : -5243;
46e3 : -2891;
46e4 : 2897;
46e5 : 3815;
46e6 : -743;
46e7 : -4297;
46e8 : -1194;
46e9 : 2072;
46ea : 5232;
46eb : 10169;
46ec : 12942;
46ed : 13175;
46ee : 12843;
46ef : 7628;
46f0 : -397;
46f1 : -5156;
46f2 : -5724;
46f3 : 705;
46f4 : 4992;
46f5 : 8445;
46f6 : 6256;
46f7 : -1453;
46f8 : -7461;
46f9 : -6059;
46fa : -3103;
46fb : 5101;
46fc : 12049;
46fd : 11950;
46fe : 4562;
46ff : -2877;
4700 : -8418;
4701 : -12645;
4702 : -9998;
4703 : -7629;
4704 : -7675;
4705 : -7456;
4706 : -4655;
4707 : 340;
4708 : 977;
4709 : -188;
470a : -1630;
470b : -3618;
470c : -5008;
470d : -123;
470e : 1995;
470f : -1974;
4710 : -6695;
4711 : -6233;
4712 : -4783;
4713 : 1770;
4714 : 9493;
4715 : 9963;
4716 : 9203;
4717 : 4875;
4718 : -1572;
4719 : -4866;
471a : -4078;
471b : 2214;
471c : 3013;
471d : 1558;
471e : -41;
471f : -3609;
4720 : -4121;
4721 : 68;
4722 : 3143;
4723 : 2410;
4724 : 3027;
4725 : 6955;
4726 : 9680;
4727 : 6482;
4728 : 2645;
4729 : -4126;
472a : -7341;
472b : -8825;
472c : -3938;
472d : 1075;
472e : 1445;
472f : 5442;
4730 : 5727;
4731 : 2898;
4732 : 2688;
4733 : 2468;
4734 : -1256;
4735 : -2984;
4736 : -2022;
4737 : -5951;
4738 : -5599;
4739 : -1596;
473a : 682;
473b : -1410;
473c : 621;
473d : 1973;
473e : 5274;
473f : 8243;
4740 : 5375;
4741 : -892;
4742 : -9863;
4743 : -12085;
4744 : -8819;
4745 : -4227;
4746 : -478;
4747 : -249;
4748 : -4444;
4749 : -7175;
474a : -4617;
474b : 2407;
474c : 5646;
474d : 8248;
474e : 10132;
474f : 7113;
4750 : 5682;
4751 : 3752;
4752 : -1137;
4753 : -5758;
4754 : -9009;
4755 : -7458;
4756 : -2029;
4757 : 3749;
4758 : 8355;
4759 : 6461;
475a : 1528;
475b : -355;
475c : -1591;
475d : 598;
475e : 2873;
475f : 2569;
4760 : -4079;
4761 : -7895;
4762 : -5435;
4763 : -3058;
4764 : 2672;
4765 : 4015;
4766 : 5129;
4767 : 7001;
4768 : 4754;
4769 : 3820;
476a : 1245;
476b : -3597;
476c : -5237;
476d : -3030;
476e : 18;
476f : 3321;
4770 : 2516;
4771 : -4074;
4772 : -8857;
4773 : -7197;
4774 : -4290;
4775 : 119;
4776 : 8203;
4777 : 10404;
4778 : 7375;
4779 : 517;
477a : -5350;
477b : -6681;
477c : -7239;
477d : -5251;
477e : -3415;
477f : -3828;
4780 : -1759;
4781 : 3149;
4782 : 3502;
4783 : 2396;
4784 : 180;
4785 : 17;
4786 : 296;
4787 : 291;
4788 : -459;
4789 : -1175;
478a : 1008;
478b : -362;
478c : 1888;
478d : 2370;
478e : 5491;
478f : 8866;
4790 : 5626;
4791 : 1453;
4792 : -1959;
4793 : -7754;
4794 : -7525;
4795 : -4628;
4796 : -193;
4797 : 1783;
4798 : 2430;
4799 : 1023;
479a : 118;
479b : -579;
479c : -320;
479d : -414;
479e : -1118;
479f : 1995;
47a0 : 4458;
47a1 : 4828;
47a2 : 1942;
47a3 : 783;
47a4 : -2718;
47a5 : -8058;
47a6 : -5978;
47a7 : -1655;
47a8 : 3394;
47a9 : 6067;
47aa : 4590;
47ab : 1253;
47ac : -688;
47ad : -3555;
47ae : -4379;
47af : -6867;
47b0 : -8421;
47b1 : -6441;
47b2 : -2375;
47b3 : 733;
47b4 : 4688;
47b5 : 6138;
47b6 : 2735;
47b7 : 3665;
47b8 : 4681;
47b9 : 3846;
47ba : 3487;
47bb : 165;
47bc : -7631;
47bd : -10655;
47be : -8497;
47bf : -2547;
47c0 : 2894;
47c1 : 2743;
47c2 : 2814;
47c3 : 293;
47c4 : -1496;
47c5 : -619;
47c6 : 2556;
47c7 : 5429;
47c8 : 4125;
47c9 : 5676;
47ca : 3485;
47cb : 3772;
47cc : 3431;
47cd : -1046;
47ce : -4039;
47cf : -3295;
47d0 : -1090;
47d1 : 3519;
47d2 : 6768;
47d3 : 6440;
47d4 : 3767;
47d5 : -2170;
47d6 : -4025;
47d7 : -2326;
47d8 : -2644;
47d9 : -2636;
47da : -1307;
47db : -3749;
47dc : -4362;
47dd : 482;
47de : 2409;
47df : 3604;
47e0 : 5325;
47e1 : 5667;
47e2 : 2320;
47e3 : -2022;
47e4 : -5894;
47e5 : -8221;
47e6 : -7732;
47e7 : -4150;
47e8 : -679;
47e9 : 2302;
47ea : 2340;
47eb : 937;
47ec : -2334;
47ed : -5188;
47ee : -3071;
47ef : 1021;
47f0 : 4078;
47f1 : 5914;
47f2 : 5360;
47f3 : 1100;
47f4 : -2058;
47f5 : -4462;
47f6 : -5523;
47f7 : -2970;
47f8 : 1442;
47f9 : 2427;
47fa : 2301;
47fb : 1255;
47fc : 681;
47fd : 394;
47fe : -1210;
47ff : 693;
4800 : 242;
4801 : -4241;
4802 : -4639;
4803 : -617;
4804 : 659;
4805 : 1797;
4806 : 5248;
4807 : 4195;
4808 : 7015;
4809 : 10863;
480a : 8735;
480b : 2255;
480c : -4570;
480d : -9220;
480e : -10441;
480f : -5351;
4810 : 2226;
4811 : 6816;
4812 : 4695;
4813 : -107;
4814 : -3394;
4815 : -5260;
4816 : -1489;
4817 : 3340;
4818 : 1743;
4819 : -984;
481a : -2547;
481b : -2801;
481c : -2415;
481d : -1636;
481e : -2230;
481f : -4431;
4820 : -4838;
4821 : -1557;
4822 : 4399;
4823 : 4941;
4824 : 2779;
4825 : 696;
4826 : -3847;
4827 : -2444;
4828 : 1789;
4829 : -1108;
482a : -4759;
482b : -2411;
482c : -3842;
482d : -2942;
482e : 3337;
482f : 5292;
4830 : 6406;
4831 : 6641;
4832 : 6601;
4833 : 5936;
4834 : 2413;
4835 : -1115;
4836 : -6244;
4837 : -10391;
4838 : -8892;
4839 : -1926;
483a : 5048;
483b : 5933;
483c : 4251;
483d : 2059;
483e : -3361;
483f : -1743;
4840 : 3152;
4841 : 5541;
4842 : 4102;
4843 : 3406;
4844 : 956;
4845 : 137;
4846 : 2108;
4847 : 145;
4848 : -4682;
4849 : -6001;
484a : -2619;
484b : 100;
484c : 2384;
484d : 4280;
484e : 1842;
484f : -3364;
4850 : -4856;
4851 : -4656;
4852 : -6514;
4853 : -1462;
4854 : -148;
4855 : -3958;
4856 : -5570;
4857 : -4103;
4858 : -481;
4859 : 5155;
485a : 8072;
485b : 9701;
485c : 5588;
485d : -3281;
485e : -7187;
485f : -7139;
4860 : -5754;
4861 : -1954;
4862 : 3609;
4863 : 3920;
4864 : 3075;
4865 : 4347;
4866 : -126;
4867 : -3222;
4868 : -477;
4869 : 859;
486a : 1372;
486b : 3880;
486c : 4874;
486d : 3907;
486e : 781;
486f : -3666;
4870 : -4163;
4871 : -2890;
4872 : 2879;
4873 : 7643;
4874 : 4669;
4875 : -31;
4876 : -1325;
4877 : -2152;
4878 : -1588;
4879 : 973;
487a : -996;
487b : -4091;
487c : -4782;
487d : -5076;
487e : -1675;
487f : 497;
4880 : 2391;
4881 : 4230;
4882 : 5728;
4883 : 8539;
4884 : 8242;
4885 : 513;
4886 : -7889;
4887 : -11827;
4888 : -11897;
4889 : -7205;
488a : 1139;
488b : 5957;
488c : 4093;
488d : -1249;
488e : -5621;
488f : -6836;
4890 : -879;
4891 : 5711;
4892 : 5853;
4893 : 668;
4894 : -3222;
4895 : -2451;
4896 : 536;
4897 : 798;
4898 : 701;
4899 : -1807;
489a : -4200;
489b : -136;
489c : 4812;
489d : 6371;
489e : 4240;
489f : 1381;
48a0 : -1199;
48a1 : 1653;
48a2 : 3442;
48a3 : 1278;
48a4 : -1266;
48a5 : -5376;
48a6 : -7038;
48a7 : -3435;
48a8 : 1156;
48a9 : 7253;
48aa : 10422;
48ab : 8665;
48ac : 5064;
48ad : 1798;
48ae : -2454;
48af : -3485;
48b0 : -3978;
48b1 : -6793;
48b2 : -6595;
48b3 : -1069;
48b4 : 3189;
48b5 : 4517;
48b6 : 1874;
48b7 : -2531;
48b8 : -4877;
48b9 : -3664;
48ba : -871;
48bb : 2505;
48bc : 2058;
48bd : 347;
48be : -570;
48bf : -1985;
48c0 : -1220;
48c1 : 507;
48c2 : -2685;
48c3 : -3111;
48c4 : -957;
48c5 : -50;
48c6 : 1850;
48c7 : 3017;
48c8 : 1548;
48c9 : 1014;
48ca : -2505;
48cb : -5122;
48cc : -3425;
48cd : -1380;
48ce : 208;
48cf : 224;
48d0 : -2839;
48d1 : -3241;
48d2 : 839;
48d3 : 6812;
48d4 : 9842;
48d5 : 9453;
48d6 : 4707;
48d7 : -2974;
48d8 : -7595;
48d9 : -7316;
48da : -3806;
48db : 142;
48dc : 3174;
48dd : 3661;
48de : 4382;
48df : 3453;
48e0 : 2727;
48e1 : 95;
48e2 : -1512;
48e3 : -1498;
48e4 : -756;
48e5 : 1006;
48e6 : 3877;
48e7 : 4305;
48e8 : 1008;
48e9 : -3238;
48ea : -5333;
48eb : -3915;
48ec : 2293;
48ed : 6872;
48ee : 4179;
48ef : -1070;
48f0 : -6158;
48f1 : -4804;
48f2 : -580;
48f3 : -2165;
48f4 : -2687;
48f5 : -3019;
48f6 : -6531;
48f7 : -5972;
48f8 : -1529;
48f9 : -94;
48fa : 925;
48fb : 2550;
48fc : 4615;
48fd : 7372;
48fe : 9024;
48ff : 3749;
4900 : -4760;
4901 : -9227;
4902 : -10316;
4903 : -5897;
4904 : 1073;
4905 : 5842;
4906 : 6642;
4907 : 591;
4908 : -4300;
4909 : -3209;
490a : 150;
490b : 4446;
490c : 5197;
490d : 435;
490e : -2856;
490f : -1489;
4910 : 1916;
4911 : 3367;
4912 : 1248;
4913 : -305;
4914 : -409;
4915 : 503;
4916 : 2302;
4917 : 6163;
4918 : 2660;
4919 : -1167;
491a : -201;
491b : -597;
491c : 505;
491d : 3241;
491e : -1052;
491f : -6065;
4920 : -6244;
4921 : -4095;
4922 : 325;
4923 : 4954;
4924 : 7306;
4925 : 6160;
4926 : 1959;
4927 : -1135;
4928 : -3913;
4929 : -3714;
492a : -4580;
492b : -5206;
492c : -5060;
492d : -3509;
492e : 815;
492f : 3426;
4930 : -406;
4931 : -2129;
4932 : -1477;
4933 : -2243;
4934 : -1739;
4935 : 299;
4936 : 1094;
4937 : -47;
4938 : -68;
4939 : 894;
493a : 2178;
493b : 3850;
493c : 4185;
493d : 2155;
493e : -223;
493f : 643;
4940 : 929;
4941 : -452;
4942 : 1893;
4943 : 2555;
4944 : -1911;
4945 : -3495;
4946 : -2570;
4947 : -2504;
4948 : 4;
4949 : 1414;
494a : 750;
494b : -528;
494c : 1385;
494d : 6422;
494e : 9346;
494f : 6772;
4950 : 2494;
4951 : -3527;
4952 : -8837;
4953 : -9165;
4954 : -2961;
4955 : 352;
4956 : 2479;
4957 : 4112;
4958 : 2795;
4959 : 1807;
495a : 2994;
495b : 612;
495c : -2712;
495d : -3734;
495e : -4056;
495f : -3009;
4960 : -1430;
4961 : 114;
4962 : 2;
4963 : -3782;
4964 : -5327;
4965 : -1889;
4966 : 1477;
4967 : 4979;
4968 : 6031;
4969 : -334;
496a : -4776;
496b : -2022;
496c : 30;
496d : 303;
496e : 333;
496f : -1221;
4970 : -4059;
4971 : -5780;
4972 : -3124;
4973 : 17;
4974 : 923;
4975 : 3690;
4976 : 7077;
4977 : 8799;
4978 : 8768;
4979 : 5395;
497a : -2472;
497b : -8159;
497c : -7480;
497d : -3618;
497e : 1054;
497f : 5542;
4980 : 5853;
4981 : 1361;
4982 : -2799;
4983 : -1626;
4984 : 456;
4985 : 3346;
4986 : 4183;
4987 : 2343;
4988 : -1910;
4989 : -3053;
498a : 420;
498b : 1871;
498c : -620;
498d : 611;
498e : 1210;
498f : -189;
4990 : 737;
4991 : 835;
4992 : -2479;
4993 : -2398;
4994 : -2016;
4995 : -2088;
4996 : 52;
4997 : 1588;
4998 : -962;
4999 : -5265;
499a : -7450;
499b : -5430;
499c : -2957;
499d : 951;
499e : 5158;
499f : 7303;
49a0 : 4352;
49a1 : 265;
49a2 : -2165;
49a3 : -4919;
49a4 : -3769;
49a5 : -644;
49a6 : -301;
49a7 : -381;
49a8 : 915;
49a9 : 1560;
49aa : 518;
49ab : 619;
49ac : 1983;
49ad : 1497;
49ae : -1127;
49af : -1629;
49b0 : -391;
49b1 : -747;
49b2 : 666;
49b3 : 2404;
49b4 : 1747;
49b5 : 3225;
49b6 : 6118;
49b7 : 3561;
49b8 : 2274;
49b9 : 2121;
49ba : -722;
49bb : -692;
49bc : 1019;
49bd : 930;
49be : 1003;
49bf : -1484;
49c0 : -3483;
49c1 : -4265;
49c2 : -3682;
49c3 : -482;
49c4 : 1524;
49c5 : 114;
49c6 : 438;
49c7 : 3352;
49c8 : 5929;
49c9 : 3503;
49ca : 1381;
49cb : -2595;
49cc : -7197;
49cd : -8160;
49ce : -5686;
49cf : -2361;
49d0 : -195;
49d1 : 175;
49d2 : -864;
49d3 : -670;
49d4 : 1796;
49d5 : 3210;
49d6 : 715;
49d7 : -1993;
49d8 : -2726;
49d9 : -3171;
49da : -1434;
49db : 2148;
49dc : 2873;
49dd : 69;
49de : -806;
49df : -836;
49e0 : 236;
49e1 : 4527;
49e2 : 4806;
49e3 : 1859;
49e4 : -168;
49e5 : -1918;
49e6 : -239;
49e7 : 1582;
49e8 : 760;
49e9 : 601;
49ea : -1482;
49eb : -3859;
49ec : -3654;
49ed : -1008;
49ee : 916;
49ef : 3392;
49f0 : 6056;
49f1 : 6283;
49f2 : 5411;
49f3 : 4477;
49f4 : -617;
49f5 : -4842;
49f6 : -5480;
49f7 : -3500;
49f8 : -487;
49f9 : 1944;
49fa : 2943;
49fb : 2157;
49fc : -693;
49fd : -1208;
49fe : -1453;
49ff : -2035;
4a00 : -308;
4a01 : 77;
4a02 : -2313;
4a03 : -3211;
4a04 : -2266;
4a05 : -1186;
4a06 : -95;
4a07 : 665;
4a08 : 459;
4a09 : 1598;
4a0a : -478;
4a0b : -2445;
4a0c : -725;
4a0d : -768;
4a0e : -522;
4a0f : 2170;
4a10 : 1514;
4a11 : 1102;
4a12 : 704;
4a13 : -3971;
4a14 : -7815;
4a15 : -8576;
4a16 : -6122;
4a17 : -110;
4a18 : 8248;
4a19 : 13769;
4a1a : 12064;
4a1b : 5625;
4a1c : -1100;
4a1d : -4566;
4a1e : -3084;
4a1f : 1159;
4a20 : 4300;
4a21 : 3633;
4a22 : -206;
4a23 : -1976;
4a24 : -2200;
4a25 : -3418;
4a26 : -1321;
4a27 : 486;
4a28 : 77;
4a29 : -668;
4a2a : 2296;
4a2b : 5074;
4a2c : 3404;
4a2d : -1862;
4a2e : -5991;
4a2f : -5957;
4a30 : -1650;
4a31 : 4946;
4a32 : 7329;
4a33 : 2725;
4a34 : -481;
4a35 : -2179;
4a36 : -3516;
4a37 : -1491;
4a38 : 373;
4a39 : 885;
4a3a : 663;
4a3b : -1668;
4a3c : -2537;
4a3d : -3987;
4a3e : -3810;
4a3f : -3875;
4a40 : -4418;
4a41 : -3062;
4a42 : 3477;
4a43 : 9216;
4a44 : 6972;
4a45 : 1306;
4a46 : -5360;
4a47 : -9878;
4a48 : -6737;
4a49 : 1001;
4a4a : 4813;
4a4b : 3054;
4a4c : 683;
4a4d : -1150;
4a4e : 586;
4a4f : 2907;
4a50 : 1326;
4a51 : 449;
4a52 : 443;
4a53 : 434;
4a54 : 2496;
4a55 : 742;
4a56 : -657;
4a57 : 95;
4a58 : -1783;
4a59 : -2035;
4a5a : 3098;
4a5b : 7886;
4a5c : 8772;
4a5d : 5569;
4a5e : -3599;
4a5f : -9024;
4a60 : -5657;
4a61 : 1131;
4a62 : 5085;
4a63 : 3684;
4a64 : 170;
4a65 : -3985;
4a66 : -6760;
4a67 : -4433;
4a68 : -1655;
4a69 : 1700;
4a6a : 4966;
4a6b : 7093;
4a6c : 6173;
4a6d : 3303;
4a6e : -812;
4a6f : -5310;
4a70 : -7738;
4a71 : -6821;
4a72 : -3413;
4a73 : 2123;
4a74 : 4820;
4a75 : 2311;
4a76 : -3918;
4a77 : -8808;
4a78 : -7825;
4a79 : -2381;
4a7a : 3950;
4a7b : 5791;
4a7c : 4512;
4a7d : -510;
4a7e : -5256;
4a7f : -2037;
4a80 : 227;
4a81 : 1281;
4a82 : 5385;
4a83 : 6088;
4a84 : 2352;
4a85 : 1293;
4a86 : 203;
4a87 : -1443;
4a88 : -2433;
4a89 : -3445;
4a8a : -2947;
4a8b : 2094;
4a8c : 7429;
4a8d : 5505;
4a8e : -1176;
4a8f : -6351;
4a90 : -5587;
4a91 : -274;
4a92 : 5749;
4a93 : 9702;
4a94 : 8221;
4a95 : 1836;
4a96 : -3708;
4a97 : -4993;
4a98 : -5222;
4a99 : -1177;
4a9a : 3279;
4a9b : 2476;
4a9c : 326;
4a9d : -248;
4a9e : 1770;
4a9f : 3524;
4aa0 : 3433;
4aa1 : 997;
4aa2 : -3025;
4aa3 : -6098;
4aa4 : -3045;
4aa5 : -2021;
4aa6 : -6660;
4aa7 : -9289;
4aa8 : -6200;
4aa9 : -2679;
4aaa : 5134;
4aab : 10651;
4aac : 5753;
4aad : 1315;
4aae : -1371;
4aaf : -2482;
4ab0 : -2130;
4ab1 : -1038;
4ab2 : 1093;
4ab3 : -639;
4ab4 : -2315;
4ab5 : -2910;
4ab6 : -3292;
4ab7 : -2194;
4ab8 : 564;
4ab9 : 2105;
4aba : 97;
4abb : 1757;
4abc : 8573;
4abd : 8804;
4abe : 1880;
4abf : -4817;
4ac0 : -7383;
4ac1 : -6470;
4ac2 : -2437;
4ac3 : 2261;
4ac4 : 2329;
4ac5 : 335;
4ac6 : 335;
4ac7 : 3304;
4ac8 : 4561;
4ac9 : 5529;
4aca : 6175;
4acb : 822;
4acc : -1790;
4acd : -224;
4ace : -1733;
4acf : -2818;
4ad0 : -371;
4ad1 : -1017;
4ad2 : -3560;
4ad3 : 131;
4ad4 : 5175;
4ad5 : 6933;
4ad6 : 5203;
4ad7 : 221;
4ad8 : -4235;
4ad9 : -5615;
4ada : -4523;
4adb : -546;
4adc : -730;
4add : -2417;
4ade : -2731;
4adf : -1934;
4ae0 : -3809;
4ae1 : -3578;
4ae2 : -637;
4ae3 : 184;
4ae4 : 2221;
4ae5 : 4119;
4ae6 : 2117;
4ae7 : 545;
4ae8 : 537;
4ae9 : -1907;
4aea : -4648;
4aeb : -3457;
4aec : 1560;
4aed : 4441;
4aee : 2409;
4aef : 732;
4af0 : -736;
4af1 : -1021;
4af2 : 906;
4af3 : 1308;
4af4 : -819;
4af5 : 379;
4af6 : 755;
4af7 : -1422;
4af8 : -2036;
4af9 : -2265;
4afa : -61;
4afb : 3735;
4afc : 6030;
4afd : 7461;
4afe : 5865;
4aff : 1501;
4b00 : -448;
4b01 : -1581;
4b02 : -4265;
4b03 : -3820;
4b04 : 337;
4b05 : 2687;
4b06 : 2292;
4b07 : -422;
4b08 : -5368;
4b09 : -4841;
4b0a : -741;
4b0b : 2236;
4b0c : 3873;
4b0d : 3873;
4b0e : 2720;
4b0f : 117;
4b10 : -1585;
4b11 : -4035;
4b12 : -3717;
4b13 : -1468;
4b14 : 1124;
4b15 : 1837;
4b16 : -1423;
4b17 : -4203;
4b18 : -1292;
4b19 : 595;
4b1a : -99;
4b1b : -741;
4b1c : -465;
4b1d : -81;
4b1e : -1285;
4b1f : -5430;
4b20 : -7304;
4b21 : -4636;
4b22 : -670;
4b23 : 3892;
4b24 : 6836;
4b25 : 6338;
4b26 : 4416;
4b27 : 1672;
4b28 : 906;
4b29 : -327;
4b2a : -228;
4b2b : 584;
4b2c : 1172;
4b2d : 1535;
4b2e : -116;
4b2f : -1307;
4b30 : -1936;
4b31 : -1488;
4b32 : 869;
4b33 : 1762;
4b34 : 3936;
4b35 : 5591;
4b36 : 3409;
4b37 : -55;
4b38 : -3566;
4b39 : -3835;
4b3a : 184;
4b3b : 2994;
4b3c : 1939;
4b3d : -972;
4b3e : -3351;
4b3f : -4540;
4b40 : -968;
4b41 : 1924;
4b42 : 2405;
4b43 : 5064;
4b44 : 3839;
4b45 : 79;
4b46 : -1355;
4b47 : -3912;
4b48 : -5292;
4b49 : -2984;
4b4a : -2851;
4b4b : -1907;
4b4c : 1410;
4b4d : 4080;
4b4e : 2932;
4b4f : -997;
4b50 : -4653;
4b51 : -3731;
4b52 : -489;
4b53 : 235;
4b54 : -1794;
4b55 : -2499;
4b56 : -2271;
4b57 : -1090;
4b58 : 1573;
4b59 : 2289;
4b5a : -144;
4b5b : -1451;
4b5c : -1608;
4b5d : 315;
4b5e : 1740;
4b5f : 1897;
4b60 : 1294;
4b61 : 235;
4b62 : 942;
4b63 : 1103;
4b64 : 1437;
4b65 : 4152;
4b66 : 3325;
4b67 : -1368;
4b68 : -3501;
4b69 : -1338;
4b6a : 2461;
4b6b : 4842;
4b6c : 3860;
4b6d : -1005;
4b6e : -2699;
4b6f : -1086;
4b70 : 860;
4b71 : 2706;
4b72 : -979;
4b73 : -3710;
4b74 : -126;
4b75 : 3199;
4b76 : 4558;
4b77 : 5086;
4b78 : 3189;
4b79 : -981;
4b7a : -3237;
4b7b : -4380;
4b7c : -4109;
4b7d : -696;
4b7e : 2191;
4b7f : -1215;
4b80 : -2989;
4b81 : -2892;
4b82 : -1497;
4b83 : -473;
4b84 : -1142;
4b85 : -1961;
4b86 : -1492;
4b87 : -1006;
4b88 : 2075;
4b89 : 2510;
4b8a : -592;
4b8b : -1947;
4b8c : -1531;
4b8d : 9;
4b8e : 2759;
4b8f : 2579;
4b90 : 382;
4b91 : -2714;
4b92 : -2861;
4b93 : -695;
4b94 : 2385;
4b95 : 3247;
4b96 : 6131;
4b97 : 3269;
4b98 : -3971;
4b99 : -6027;
4b9a : -4460;
4b9b : -3238;
4b9c : 266;
4b9d : 2739;
4b9e : 2322;
4b9f : 3270;
4ba0 : 5482;
4ba1 : 4866;
4ba2 : 1902;
4ba3 : -421;
4ba4 : -1019;
4ba5 : 656;
4ba6 : 2474;
4ba7 : 2982;
4ba8 : 1647;
4ba9 : -1525;
4baa : -3736;
4bab : -4528;
4bac : -3395;
4bad : 215;
4bae : 5320;
4baf : 3956;
4bb0 : -1981;
4bb1 : -3519;
4bb2 : -3556;
4bb3 : -1104;
4bb4 : 1714;
4bb5 : 1998;
4bb6 : -239;
4bb7 : -3359;
4bb8 : -4396;
4bb9 : -2044;
4bba : -1829;
4bbb : -1236;
4bbc : -393;
4bbd : 315;
4bbe : 1581;
4bbf : 2930;
4bc0 : 647;
4bc1 : -2917;
4bc2 : -3849;
4bc3 : -4548;
4bc4 : -3248;
4bc5 : 2033;
4bc6 : 5485;
4bc7 : 6001;
4bc8 : 2908;
4bc9 : -702;
4bca : -2670;
4bcb : -619;
4bcc : 2731;
4bcd : 2176;
4bce : -1474;
4bcf : -3912;
4bd0 : -2532;
4bd1 : 1324;
4bd2 : 2572;
4bd3 : 2988;
4bd4 : 1160;
4bd5 : -627;
4bd6 : 1697;
4bd7 : 3263;
4bd8 : 2568;
4bd9 : 1439;
4bda : -889;
4bdb : -2769;
4bdc : -930;
4bdd : 2400;
4bde : 4365;
4bdf : 4003;
4be0 : 122;
4be1 : -2847;
4be2 : -2883;
4be3 : -1076;
4be4 : 3650;
4be5 : 3972;
4be6 : -27;
4be7 : -909;
4be8 : -1920;
4be9 : -2756;
4bea : 76;
4beb : -331;
4bec : -4847;
4bed : -6805;
4bee : -3204;
4bef : 1238;
4bf0 : 3976;
4bf1 : 4251;
4bf2 : 2491;
4bf3 : -1064;
4bf4 : -3279;
4bf5 : -3261;
4bf6 : -2560;
4bf7 : -2570;
4bf8 : -1600;
4bf9 : -1576;
4bfa : -2123;
4bfb : -39;
4bfc : 2253;
4bfd : 1229;
4bfe : -817;
4bff : -882;
4c00 : 133;
4c01 : 1808;
4c02 : 3787;
4c03 : 2858;
4c04 : 69;
4c05 : -1419;
4c06 : -356;
4c07 : 1382;
4c08 : 1848;
4c09 : 1825;
4c0a : 1284;
4c0b : -2438;
4c0c : -2926;
4c0d : 770;
4c0e : 2959;
4c0f : 3817;
4c10 : 5714;
4c11 : 3594;
4c12 : -904;
4c13 : -3199;
4c14 : -5321;
4c15 : -5714;
4c16 : -3968;
4c17 : -711;
4c18 : 2628;
4c19 : 5910;
4c1a : 8027;
4c1b : 6743;
4c1c : 1865;
4c1d : -3292;
4c1e : -5506;
4c1f : -5450;
4c20 : -3234;
4c21 : 269;
4c22 : 2301;
4c23 : 2754;
4c24 : 3102;
4c25 : 614;
4c26 : -2673;
4c27 : -3335;
4c28 : -3125;
4c29 : -3178;
4c2a : -4185;
4c2b : -3918;
4c2c : -322;
4c2d : 1580;
4c2e : 1077;
4c2f : 781;
4c30 : -1576;
4c31 : -3722;
4c32 : -1305;
4c33 : 1053;
4c34 : 2288;
4c35 : 4067;
4c36 : 4560;
4c37 : 2712;
4c38 : 2028;
4c39 : 1552;
4c3a : -2135;
4c3b : -4954;
4c3c : -6187;
4c3d : -5509;
4c3e : -1729;
4c3f : 3565;
4c40 : 7085;
4c41 : 8280;
4c42 : 6496;
4c43 : 3543;
4c44 : 873;
4c45 : -1582;
4c46 : -1703;
4c47 : -842;
4c48 : -2243;
4c49 : -3518;
4c4a : -1041;
4c4b : 2690;
4c4c : 4749;
4c4d : 2899;
4c4e : 6;
4c4f : -2042;
4c50 : -3052;
4c51 : -1013;
4c52 : 286;
4c53 : -439;
4c54 : -328;
4c55 : -1406;
4c56 : -3039;
4c57 : -144;
4c58 : 1300;
4c59 : 867;
4c5a : -163;
4c5b : -659;
4c5c : -570;
4c5d : 727;
4c5e : 1703;
4c5f : -268;
4c60 : -3685;
4c61 : -4830;
4c62 : -5384;
4c63 : -5365;
4c64 : -3705;
4c65 : -2327;
4c66 : -1777;
4c67 : -3232;
4c68 : -2441;
4c69 : 1978;
4c6a : 4884;
4c6b : 5558;
4c6c : 5069;
4c6d : 2324;
4c6e : -1555;
4c6f : -3849;
4c70 : -4957;
4c71 : -4074;
4c72 : -835;
4c73 : 2575;
4c74 : 5400;
4c75 : 7112;
4c76 : 7784;
4c77 : 4851;
4c78 : 1055;
4c79 : -2027;
4c7a : -1763;
4c7b : -924;
4c7c : 953;
4c7d : 2961;
4c7e : 2794;
4c7f : 2968;
4c80 : 3768;
4c81 : 2642;
4c82 : 1861;
4c83 : 2450;
4c84 : 3930;
4c85 : 3892;
4c86 : 1632;
4c87 : 604;
4c88 : 1112;
4c89 : 603;
4c8a : -112;
4c8b : -1024;
4c8c : -2640;
4c8d : -3238;
4c8e : -2128;
4c8f : -1099;
4c90 : -1126;
4c91 : 856;
4c92 : 2384;
4c93 : 2801;
4c94 : 4508;
4c95 : 3907;
4c96 : 373;
4c97 : -3058;
4c98 : -6732;
4c99 : -7458;
4c9a : -5461;
4c9b : -1357;
4c9c : 2679;
4c9d : 3720;
4c9e : 2721;
4c9f : 905;
4ca0 : -2117;
4ca1 : -3393;
4ca2 : -2586;
4ca3 : -1865;
4ca4 : -4202;
4ca5 : -6210;
4ca6 : -5066;
4ca7 : -2308;
4ca8 : -657;
4ca9 : 657;
4caa : 417;
4cab : -1258;
4cac : -1771;
4cad : -1207;
4cae : -1257;
4caf : -1994;
4cb0 : -2254;
4cb1 : -3987;
4cb2 : -4352;
4cb3 : -2838;
4cb4 : -1412;
4cb5 : -566;
4cb6 : -931;
4cb7 : -1641;
4cb8 : -1719;
4cb9 : -433;
4cba : 2546;
4cbb : 4052;
4cbc : 3299;
4cbd : 2738;
4cbe : 757;
4cbf : -1340;
4cc0 : -2983;
4cc1 : -2866;
4cc2 : -3112;
4cc3 : -4423;
4cc4 : -2862;
4cc5 : 1098;
4cc6 : 4073;
4cc7 : 5424;
4cc8 : 4339;
4cc9 : 461;
4cca : -3360;
4ccb : -4477;
4ccc : -2896;
4ccd : -1922;
4cce : -735;
4ccf : 1521;
4cd0 : 2598;
4cd1 : 4773;
4cd2 : 6952;
4cd3 : 7162;
4cd4 : 5890;
4cd5 : 4205;
4cd6 : 3776;
4cd7 : 4308;
4cd8 : 5639;
4cd9 : 6309;
4cda : 5832;
4cdb : 3824;
4cdc : 3076;
4cdd : 3117;
4cde : 3065;
4cdf : 3678;
4ce0 : 4753;
4ce1 : 3422;
4ce2 : 1442;
4ce3 : 1903;
4ce4 : 4438;
4ce5 : 6239;
4ce6 : 5960;
4ce7 : 4162;
4ce8 : 613;
4ce9 : -2835;
4cea : -3846;
4ceb : -2866;
4cec : -2718;
4ced : -2244;
4cee : -436;
4cef : 212;
4cf0 : 455;
4cf1 : 137;
4cf2 : -1945;
4cf3 : -5346;
4cf4 : -7148;
4cf5 : -6934;
4cf6 : -6877;
4cf7 : -5082;
4cf8 : -2873;
4cf9 : -1498;
4cfa : -2143;
4cfb : -3586;
4cfc : -4013;
4cfd : -3573;
4cfe : -2920;
4cff : -2335;
4d00 : -3605;
4d01 : -6233;
4d02 : -6544;
4d03 : -5074;
4d04 : -4013;
4d05 : -3268;
4d06 : -2358;
4d07 : -3298;
4d08 : -3813;
4d09 : -3155;
4d0a : -2335;
4d0b : -2627;
4d0c : -2160;
4d0d : 16;
4d0e : 1677;
4d0f : 2835;
4d10 : 3100;
4d11 : 783;
4d12 : -2913;
4d13 : -5332;
4d14 : -4353;
4d15 : -1488;
4d16 : 2829;
4d17 : 5586;
4d18 : 5721;
4d19 : 4098;
4d1a : 2708;
4d1b : 1927;
4d1c : 2283;
4d1d : 2305;
4d1e : 1757;
4d1f : 1383;
4d20 : 1820;
4d21 : 4812;
4d22 : 7536;
4d23 : 8377;
4d24 : 8549;
4d25 : 8665;
4d26 : 6922;
4d27 : 5724;
4d28 : 5592;
4d29 : 4341;
4d2a : 1414;
4d2b : -139;
4d2c : -225;
4d2d : 1014;
4d2e : 2976;
4d2f : 3546;
4d30 : 1466;
4d31 : -1038;
4d32 : -3084;
4d33 : -2559;
4d34 : -1642;
4d35 : -242;
4d36 : 492;
4d37 : -177;
4d38 : -1495;
4d39 : -2840;
4d3a : -3781;
4d3b : -4672;
4d3c : -5232;
4d3d : -5436;
4d3e : -5526;
4d3f : -4781;
4d40 : -2628;
4d41 : -1744;
4d42 : -1700;
4d43 : -2606;
4d44 : -3876;
4d45 : -4758;
4d46 : -4667;
4d47 : -3011;
4d48 : -2082;
4d49 : -1881;
4d4a : -1118;
4d4b : 151;
4d4c : 1566;
4d4d : 2219;
4d4e : 1172;
4d4f : -926;
4d50 : -1809;
4d51 : -2383;
4d52 : -2352;
4d53 : -2228;
4d54 : -2216;
4d55 : -1997;
4d56 : -1260;
4d57 : -494;
4d58 : 419;
4d59 : 1546;
4d5a : 1700;
4d5b : 999;
4d5c : -163;
4d5d : 159;
4d5e : 1784;
4d5f : 3470;
4d60 : 4110;
4d61 : 4251;
4d62 : 3627;
4d63 : 2026;
4d64 : 1260;
4d65 : 1569;
4d66 : 2404;
4d67 : 2854;
4d68 : 3554;
4d69 : 4124;
4d6a : 5031;
4d6b : 5383;
4d6c : 4728;
4d6d : 3639;
4d6e : 2363;
4d6f : 1772;
4d70 : 1354;
4d71 : 1428;
4d72 : 2311;
4d73 : 3093;
4d74 : 3509;
4d75 : 4070;
4d76 : 4660;
4d77 : 4829;
4d78 : 5265;
4d79 : 4076;
4d7a : 1514;
4d7b : -874;
4d7c : -1454;
4d7d : -1532;
4d7e : -1624;
4d7f : -1674;
4d80 : -1254;
4d81 : -1452;
4d82 : -2359;
4d83 : -2700;
4d84 : -2703;
4d85 : -1970;
4d86 : -1855;
4d87 : -2295;
4d88 : -2664;
4d89 : -2282;
4d8a : -2008;
4d8b : -2863;
4d8c : -4430;
4d8d : -5711;
4d8e : -5857;
4d8f : -5418;
4d90 : -4143;
4d91 : -3417;
4d92 : -3622;
4d93 : -3866;
4d94 : -4574;
4d95 : -4585;
4d96 : -4448;
4d97 : -3987;
4d98 : -4369;
4d99 : -5437;
4d9a : -5744;
4d9b : -4165;
4d9c : -2845;
4d9d : -1487;
4d9e : -101;
4d9f : 561;
4da0 : 63;
4da1 : -1040;
4da2 : -2313;
4da3 : -2956;
4da4 : -3069;
4da5 : -3331;
4da6 : -3127;
4da7 : -1345;
4da8 : 1048;
4da9 : 2271;
4daa : 3795;
4dab : 4252;
4dac : 3462;
4dad : 3087;
4dae : 4253;
4daf : 1980;
4db0 : 96;
4db1 : -20;
4db2 : 1505;
4db3 : 5328;
4db4 : 7967;
4db5 : 9484;
4db6 : 9264;
4db7 : 6647;
4db8 : 5928;
4db9 : 6706;
4dba : 7823;
4dbb : 8117;
4dbc : 7886;
4dbd : 7844;
4dbe : 5598;
4dbf : 3233;
4dc0 : 932;
4dc1 : -1479;
4dc2 : -1535;
4dc3 : 641;
4dc4 : 1784;
4dc5 : 4079;
4dc6 : 7673;
4dc7 : 9164;
4dc8 : 7713;
4dc9 : 2947;
4dca : -3318;
4dcb : -6110;
4dcc : -6318;
4dcd : -5231;
4dce : -1176;
4dcf : 3268;
4dd0 : 2936;
4dd1 : 2378;
4dd2 : -1713;
4dd3 : -10226;
4dd4 : -13243;
4dd5 : -12575;
4dd6 : -8419;
4dd7 : -2575;
4dd8 : 438;
4dd9 : 1177;
4dda : -2698;
4ddb : -7773;
4ddc : -9640;
4ddd : -9830;
4dde : -5253;
4ddf : -1257;
4de0 : 2124;
4de1 : 2856;
4de2 : -173;
4de3 : -3498;
4de4 : -7710;
4de5 : -11481;
4de6 : -10904;
4de7 : -9600;
4de8 : -9250;
4de9 : -7498;
4dea : -4881;
4deb : -4853;
4dec : -4880;
4ded : -5930;
4dee : -5594;
4def : -3810;
4df0 : -2783;
4df1 : -1995;
4df2 : 4584;
4df3 : 5407;
4df4 : 8351;
4df5 : 1517;
4df6 : -231;
4df7 : -1151;
4df8 : -3631;
4df9 : 1940;
4dfa : 8767;
4dfb : 15844;
4dfc : 18087;
4dfd : 16964;
4dfe : 11735;
4dff : 9585;
4e00 : 9225;
4e01 : 9735;
4e02 : 12131;
4e03 : 13536;
4e04 : 14568;
4e05 : 13883;
4e06 : 11761;
4e07 : 10641;
4e08 : 7992;
4e09 : 5731;
4e0a : 4691;
4e0b : 4803;
4e0c : 5428;
4e0d : 8166;
4e0e : 8980;
4e0f : 8223;
4e10 : 6491;
4e11 : 4452;
4e12 : 2208;
4e13 : -890;
4e14 : -6139;
4e15 : -5359;
4e16 : 468;
4e17 : 3151;
4e18 : 3228;
4e19 : -213;
4e1a : -6581;
4e1b : -13597;
4e1c : -17489;
4e1d : -16540;
4e1e : -12993;
4e1f : -8165;
4e20 : -7292;
4e21 : -9389;
4e22 : -11757;
4e23 : -14036;
4e24 : -14278;
4e25 : -12738;
4e26 : -11263;
4e27 : -9299;
4e28 : -7173;
4e29 : -7724;
4e2a : -7160;
4e2b : -7293;
4e2c : -10307;
4e2d : -13393;
4e2e : -13469;
4e2f : -12195;
4e30 : -9994;
4e31 : -6040;
4e32 : -4713;
4e33 : -5394;
4e34 : -6493;
4e35 : -7668;
4e36 : -7715;
4e37 : -7223;
4e38 : -7956;
4e39 : -6083;
4e3a : -2351;
4e3b : 221;
4e3c : 4411;
4e3d : 4911;
4e3e : -1034;
4e3f : -4530;
4e40 : -3635;
4e41 : 72;
4e42 : 7884;
4e43 : 11499;
4e44 : 12239;
4e45 : 8380;
4e46 : 3249;
4e47 : 2852;
4e48 : 4504;
4e49 : 7515;
4e4a : 9907;
4e4b : 12350;
4e4c : 12751;
4e4d : 12453;
4e4e : 12598;
4e4f : 12705;
4e50 : 10652;
4e51 : 8780;
4e52 : 9770;
4e53 : 9594;
4e54 : 10398;
4e55 : 11759;
4e56 : 10841;
4e57 : 8492;
4e58 : 7019;
4e59 : 5216;
4e5a : 6113;
4e5b : 6199;
4e5c : 4523;
4e5d : 4811;
4e5e : 5835;
4e5f : 8052;
4e60 : 10811;
4e61 : 8786;
4e62 : 2719;
4e63 : -3082;
4e64 : -6049;
4e65 : -3313;
4e66 : 901;
4e67 : 5068;
4e68 : 7049;
4e69 : 4996;
4e6a : 2305;
4e6b : -604;
4e6c : -1648;
4e6d : -1473;
4e6e : -2250;
4e6f : -1502;
4e70 : -2452;
4e71 : -2691;
4e72 : -1084;
4e73 : -1464;
4e74 : -3507;
4e75 : -4506;
4e76 : -5494;
4e77 : -6385;
4e78 : -5766;
4e79 : -1300;
4e7a : 914;
4e7b : 214;
4e7c : -766;
4e7d : -3302;
4e7e : -4380;
4e7f : -5849;
4e80 : -5931;
4e81 : -3185;
4e82 : -2665;
4e83 : -808;
4e84 : 1567;
4e85 : -1575;
4e86 : -6160;
4e87 : -9707;
4e88 : -10918;
4e89 : -7095;
4e8a : -2252;
4e8b : 2889;
4e8c : 3334;
4e8d : 678;
4e8e : -1512;
4e8f : -2850;
4e90 : -1189;
4e91 : 1856;
4e92 : 5173;
4e93 : 7227;
4e94 : 6098;
4e95 : 4318;
4e96 : 2674;
4e97 : 590;
4e98 : -1468;
4e99 : -469;
4e9a : -123;
4e9b : -828;
4e9c : 1919;
4e9d : 4823;
4e9e : 4383;
4e9f : 2357;
4ea0 : 115;
4ea1 : -2122;
4ea2 : -2603;
4ea3 : -2141;
4ea4 : -1244;
4ea5 : 386;
4ea6 : -162;
4ea7 : 998;
4ea8 : 611;
4ea9 : -3835;
4eaa : -7295;
4eab : -10364;
4eac : -9947;
4ead : -8100;
4eae : -3681;
4eaf : 816;
4eb0 : 164;
4eb1 : -4105;
4eb2 : -7628;
4eb3 : -11569;
4eb4 : -11439;
4eb5 : -9629;
4eb6 : -6933;
4eb7 : -5311;
4eb8 : -5864;
4eb9 : -6169;
4eba : -5555;
4ebb : -6086;
4ebc : -7085;
4ebd : -6635;
4ebe : -8822;
4ebf : -10161;
4ec0 : -7858;
4ec1 : -4600;
4ec2 : -4187;
4ec3 : -3072;
4ec4 : -2516;
4ec5 : -3437;
4ec6 : -3691;
4ec7 : -3431;
4ec8 : -1279;
4ec9 : 826;
4eca : 2875;
4ecb : 5833;
4ecc : 6257;
4ecd : 3932;
4ece : 1814;
4ecf : -307;
4ed0 : -127;
4ed1 : 2747;
4ed2 : 7888;
4ed3 : 11231;
4ed4 : 10796;
4ed5 : 8394;
4ed6 : 6285;
4ed7 : 5853;
4ed8 : 7023;
4ed9 : 9675;
4eda : 12265;
4edb : 11697;
4edc : 11008;
4edd : 10336;
4ede : 8489;
4edf : 6638;
4ee0 : 6948;
4ee1 : 8783;
4ee2 : 9186;
4ee3 : 10656;
4ee4 : 12937;
4ee5 : 12835;
4ee6 : 9646;
4ee7 : 7775;
4ee8 : 5433;
4ee9 : 1770;
4eea : 547;
4eeb : 26;
4eec : 1416;
4eed : 1423;
4eee : 1017;
4eef : 3044;
4ef0 : 1566;
4ef1 : -1866;
4ef2 : -5869;
4ef3 : -9534;
4ef4 : -10054;
4ef5 : -7156;
4ef6 : -3670;
4ef7 : -892;
4ef8 : -3355;
4ef9 : -7121;
4efa : -10018;
4efb : -10789;
4efc : -10085;
4efd : -8482;
4efe : -7112;
4eff : -6214;
4f00 : -5393;
4f01 : -5704;
4f02 : -6783;
4f03 : -9864;
4f04 : -10759;
4f05 : -11421;
4f06 : -12441;
4f07 : -11480;
4f08 : -7430;
4f09 : -4814;
4f0a : -3680;
4f0b : -1725;
4f0c : -1659;
4f0d : -2205;
4f0e : -3248;
4f0f : -4529;
4f10 : -4688;
4f11 : -4595;
4f12 : -3201;
4f13 : 657;
4f14 : 1300;
4f15 : 30;
4f16 : -2757;
4f17 : -3847;
4f18 : -4299;
4f19 : -1556;
4f1a : 3712;
4f1b : 6703;
4f1c : 6434;
4f1d : 6390;
4f1e : 5569;
4f1f : 4432;
4f20 : 5798;
4f21 : 6696;
4f22 : 7566;
4f23 : 8092;
4f24 : 8916;
4f25 : 9713;
4f26 : 8622;
4f27 : 6108;
4f28 : 6071;
4f29 : 5958;
4f2a : 5143;
4f2b : 7028;
4f2c : 10590;
4f2d : 10303;
4f2e : 9133;
4f2f : 8731;
4f30 : 5961;
4f31 : 3981;
4f32 : 4387;
4f33 : 4960;
4f34 : 6474;
4f35 : 7028;
4f36 : 6994;
4f37 : 7341;
4f38 : 5144;
4f39 : 2057;
4f3a : -2576;
4f3b : -7112;
4f3c : -7344;
4f3d : -4617;
4f3e : 239;
4f3f : 3311;
4f40 : 3069;
4f41 : -37;
4f42 : -3773;
4f43 : -6443;
4f44 : -6189;
4f45 : -4774;
4f46 : -3004;
4f47 : -2214;
4f48 : -1824;
4f49 : -1561;
4f4a : -3511;
4f4b : -5085;
4f4c : -5645;
4f4d : -8021;
4f4e : -8955;
4f4f : -7412;
4f50 : -3144;
4f51 : -1447;
4f52 : -1223;
4f53 : -1187;
4f54 : -3830;
4f55 : -5594;
4f56 : -6182;
4f57 : -5850;
4f58 : -4400;
4f59 : -4325;
4f5a : -1481;
4f5b : 2456;
4f5c : 3890;
4f5d : 4596;
4f5e : 1947;
4f5f : -2082;
4f60 : -3906;
4f61 : -3313;
4f62 : 796;
4f63 : 3544;
4f64 : 4586;
4f65 : 4187;
4f66 : 1509;
4f67 : 346;
4f68 : 2252;
4f69 : 5036;
4f6a : 6190;
4f6b : 6078;
4f6c : 4897;
4f6d : 4460;
4f6e : 3957;
4f6f : 3901;
4f70 : 4466;
4f71 : 2395;
4f72 : 1055;
4f73 : 1720;
4f74 : 4308;
4f75 : 5128;
4f76 : 5363;
4f77 : 4093;
4f78 : 1702;
4f79 : 1181;
4f7a : 1155;
4f7b : 2020;
4f7c : 2755;
4f7d : 1228;
4f7e : 1003;
4f7f : 1036;
4f80 : 490;
4f81 : 17;
4f82 : -3103;
4f83 : -6125;
4f84 : -8326;
4f85 : -5738;
4f86 : -512;
4f87 : 2772;
4f88 : 3167;
4f89 : 70;
4f8a : -4997;
4f8b : -8048;
4f8c : -7172;
4f8d : -4965;
4f8e : -3393;
4f8f : -2417;
4f90 : -2045;
4f91 : -3001;
4f92 : -4403;
4f93 : -5557;
4f94 : -5841;
4f95 : -7661;
4f96 : -9202;
4f97 : -7451;
4f98 : -3360;
4f99 : -1287;
4f9a : 226;
4f9b : 316;
4f9c : -2876;
4f9d : -4244;
4f9e : -4540;
4f9f : -4175;
4fa0 : -2850;
4fa1 : -1938;
4fa2 : -237;
4fa3 : 1592;
4fa4 : 3551;
4fa5 : 4157;
4fa6 : 1273;
4fa7 : -1951;
4fa8 : -4810;
4fa9 : -3768;
4faa : 196;
4fab : 4161;
4fac : 6732;
4fad : 7396;
4fae : 5177;
4faf : 3690;
4fb0 : 4411;
4fb1 : 5197;
4fb2 : 7146;
4fb3 : 7603;
4fb4 : 6008;
4fb5 : 4990;
4fb6 : 4356;
4fb7 : 4162;
4fb8 : 5084;
4fb9 : 5011;
4fba : 2877;
4fbb : 3318;
4fbc : 5558;
4fbd : 5042;
4fbe : 5613;
4fbf : 5202;
4fc0 : 3667;
4fc1 : 3215;
4fc2 : 2488;
4fc3 : 2572;
4fc4 : 2782;
4fc5 : 2359;
4fc6 : 2650;
4fc7 : 2370;
4fc8 : 1974;
4fc9 : 1805;
4fca : -726;
4fcb : -3567;
4fcc : -6569;
4fcd : -6024;
4fce : -3231;
4fcf : -1026;
4fd0 : 15;
4fd1 : -1891;
4fd2 : -6027;
4fd3 : -8055;
4fd4 : -7508;
4fd5 : -5359;
4fd6 : -2441;
4fd7 : -858;
4fd8 : -876;
4fd9 : -2093;
4fda : -4190;
4fdb : -5238;
4fdc : -6142;
4fdd : -6959;
4fde : -7370;
4fdf : -7125;
4fe0 : -4933;
4fe1 : -3365;
4fe2 : -679;
4fe3 : -866;
4fe4 : -1953;
4fe5 : -3640;
4fe6 : -5921;
4fe7 : -5059;
4fe8 : -3421;
4fe9 : -2014;
4fea : -498;
4feb : 723;
4fec : 1970;
4fed : 1545;
4fee : -1252;
4fef : -3779;
4ff0 : -5787;
4ff1 : -5023;
4ff2 : -1148;
4ff3 : 2912;
4ff4 : 5323;
4ff5 : 5685;
4ff6 : 4245;
4ff7 : 2471;
4ff8 : 1889;
4ff9 : 3176;
4ffa : 4881;
4ffb : 5732;
4ffc : 6533;
4ffd : 6277;
4ffe : 5703;
4fff : 5191;
5000 : 6558;
5001 : 6292;
5002 : 4227;
5003 : 4755;
5004 : 5637;
5005 : 5029;
5006 : 5899;
5007 : 5348;
5008 : 3973;
5009 : 3354;
500a : 2512;
500b : 2810;
500c : 2383;
500d : 2052;
500e : 2417;
500f : 2011;
5010 : 2466;
5011 : 1744;
5012 : 130;
5013 : -2567;
5014 : -4837;
5015 : -4415;
5016 : -2438;
5017 : -493;
5018 : 758;
5019 : -643;
501a : -3403;
501b : -4522;
501c : -4939;
501d : -4285;
501e : -2075;
501f : -1760;
5020 : -1869;
5021 : -2324;
5022 : -4021;
5023 : -6364;
5024 : -6516;
5025 : -6232;
5026 : -6595;
5027 : -3754;
5028 : -2356;
5029 : -1803;
502a : -1308;
502b : -1954;
502c : -1655;
502d : -2846;
502e : -4297;
502f : -4445;
5030 : -4199;
5031 : -3401;
5032 : -1769;
5033 : 361;
5034 : 2646;
5035 : 2005;
5036 : -338;
5037 : -3440;
5038 : -6318;
5039 : -4944;
503a : -611;
503b : 3104;
503c : 5224;
503d : 5858;
503e : 4497;
503f : 2748;
5040 : 1633;
5041 : 2432;
5042 : 3522;
5043 : 4976;
5044 : 6374;
5045 : 7127;
5046 : 6499;
5047 : 5349;
5048 : 5102;
5049 : 3576;
504a : 914;
504b : 1466;
504c : 2741;
504d : 3425;
504e : 4510;
504f : 4933;
5050 : 5915;
5051 : 5039;
5052 : 3892;
5053 : 3153;
5054 : 1692;
5055 : 1129;
5056 : 1502;
5057 : 3340;
5058 : 3863;
5059 : 2739;
505a : -70;
505b : -3090;
505c : -5980;
505d : -5904;
505e : -3720;
505f : -1674;
5060 : -1220;
5061 : -1244;
5062 : -2722;
5063 : -3767;
5064 : -3893;
5065 : -2800;
5066 : -2034;
5067 : -2400;
5068 : -2263;
5069 : -2788;
506a : -3979;
506b : -5002;
506c : -4681;
506d : -6144;
506e : -6830;
506f : -5307;
5070 : -3742;
5071 : -3138;
5072 : -3187;
5073 : -3575;
5074 : -3999;
5075 : -5066;
5076 : -5887;
5077 : -4151;
5078 : -3708;
5079 : -2271;
507a : -737;
507b : 1024;
507c : 2333;
507d : 2349;
507e : 35;
507f : -2999;
5080 : -5489;
5081 : -5228;
5082 : -1366;
5083 : 2420;
5084 : 4865;
5085 : 4840;
5086 : 2842;
5087 : 928;
5088 : 33;
5089 : 396;
508a : 2447;
508b : 4386;
508c : 6605;
508d : 7158;
508e : 6875;
508f : 5458;
5090 : 5202;
5091 : 3563;
5092 : 1165;
5093 : 2033;
5094 : 3779;
5095 : 5269;
5096 : 6654;
5097 : 6718;
5098 : 5562;
5099 : 3864;
509a : 3002;
509b : 2798;
509c : 2296;
509d : 2012;
509e : 1764;
509f : 3659;
50a0 : 5629;
50a1 : 5672;
50a2 : 4759;
50a3 : 1172;
50a4 : -2624;
50a5 : -3230;
50a6 : -1817;
50a7 : -269;
50a8 : 1964;
50a9 : 1595;
50aa : -559;
50ab : -1764;
50ac : -2249;
50ad : -1719;
50ae : -1292;
50af : -1827;
50b0 : -2951;
50b1 : -3307;
50b2 : -4043;
50b3 : -3956;
50b4 : -2325;
50b5 : -3664;
50b6 : -5677;
50b7 : -4298;
50b8 : -4547;
50b9 : -4438;
50ba : -2873;
50bb : -3969;
50bc : -4283;
50bd : -4454;
50be : -4313;
50bf : -4000;
50c0 : -2815;
50c1 : -2696;
50c2 : -3517;
50c3 : -3028;
50c4 : -2841;
50c5 : -2250;
50c6 : -2795;
50c7 : -4829;
50c8 : -6141;
50c9 : -4736;
50ca : -2600;
50cb : 805;
50cc : 3289;
50cd : 3058;
50ce : 583;
50cf : -363;
50d0 : -733;
50d1 : -734;
50d2 : 325;
50d3 : 2816;
50d4 : 4057;
50d5 : 4926;
50d6 : 5419;
50d7 : 3957;
50d8 : 2913;
50d9 : 440;
50da : -466;
50db : -1007;
50dc : 757;
50dd : 3687;
50de : 6019;
50df : 6119;
50e0 : 6004;
50e1 : 4908;
50e2 : 5081;
50e3 : 4441;
50e4 : 4498;
50e5 : 4858;
50e6 : 2794;
50e7 : 2672;
50e8 : 3818;
50e9 : 3429;
50ea : 1505;
50eb : -427;
50ec : -2455;
50ed : -3476;
50ee : -2329;
50ef : 888;
50f0 : 2518;
50f1 : 2556;
50f2 : 1744;
50f3 : -628;
50f4 : -3072;
50f5 : -2897;
50f6 : -1997;
50f7 : 68;
50f8 : 1094;
50f9 : 893;
50fa : 228;
50fb : -2422;
50fc : -3526;
50fd : -5708;
50fe : -6021;
50ff : -6253;
5100 : -5190;
5101 : -2951;
5102 : -2663;
5103 : -2835;
5104 : -1825;
5105 : -2139;
5106 : -2099;
5107 : -1009;
5108 : -22;
5109 : 1021;
510a : 621;
510b : -338;
510c : -2042;
510d : -3691;
510e : -4708;
510f : -5765;
5110 : -4487;
5111 : -2411;
5112 : 247;
5113 : 3354;
5114 : 4627;
5115 : 2898;
5116 : -100;
5117 : -1645;
5118 : -2243;
5119 : 255;
511a : 4148;
511b : 7764;
511c : 8738;
511d : 7141;
511e : 4444;
511f : -115;
5120 : -2589;
5121 : -2803;
5122 : -1393;
5123 : 1489;
5124 : 4150;
5125 : 6945;
5126 : 8109;
5127 : 7045;
5128 : 5467;
5129 : 3320;
512a : 1058;
512b : 1159;
512c : 2564;
512d : 3374;
512e : 2199;
512f : 682;
5130 : -437;
5131 : -3464;
5132 : -4054;
5133 : -3243;
5134 : -1756;
5135 : 1493;
5136 : 3816;
5137 : 3596;
5138 : 1798;
5139 : -566;
513a : -2944;
513b : -2878;
513c : -2976;
513d : -1038;
513e : 315;
513f : 867;
5140 : 300;
5141 : -2341;
5142 : -5362;
5143 : -8179;
5144 : -7951;
5145 : -6019;
5146 : -5359;
5147 : -4133;
5148 : -2305;
5149 : -2634;
514a : -4027;
514b : -6202;
514c : -7103;
514d : -6983;
514e : -6470;
514f : -5691;
5150 : -6796;
5151 : -7723;
5152 : -10566;
5153 : -12637;
5154 : -8974;
5155 : -9716;
5156 : -3265;
5157 : -5334;
5158 : 355;
5159 : 7326;
515a : 6981;
515b : 9047;
515c : 7800;
515d : 7579;
515e : 6872;
515f : 7361;
5160 : 7424;
5161 : 8601;
5162 : 9071;
5163 : 8730;
5164 : 8453;
5165 : 7934;
5166 : 7300;
5167 : 6306;
5168 : 5657;
5169 : 6028;
516a : 7093;
516b : 8984;
516c : 11061;
516d : 11145;
516e : 11187;
516f : 11413;
5170 : 9786;
5171 : 9110;
5172 : 8124;
5173 : 7720;
5174 : 6304;
5175 : 3620;
5176 : 446;
5177 : 1661;
5178 : 2311;
5179 : 1357;
517a : -1119;
517b : -6051;
517c : -5151;
517d : -3433;
517e : -1133;
517f : 769;
5180 : -1176;
5181 : -1364;
5182 : -3758;
5183 : -5610;
5184 : -6061;
5185 : -8509;
5186 : -9063;
5187 : -9434;
5188 : -7985;
5189 : -5668;
518a : -6505;
518b : -8225;
518c : -8855;
518d : -9861;
518e : -9848;
518f : -8987;
5190 : -7193;
5191 : -6226;
5192 : -7140;
5193 : -7783;
5194 : -10613;
5195 : -11467;
5196 : -11869;
5197 : -12117;
5198 : -11063;
5199 : -10439;
519a : -8397;
519b : -6314;
519c : -5255;
519d : -5287;
519e : -6327;
519f : -6620;
51a0 : -5936;
51a1 : -2826;
51a2 : 1010;
51a3 : 1887;
51a4 : 2418;
51a5 : 822;
51a6 : -1017;
51a7 : -1659;
51a8 : -2669;
51a9 : -910;
51aa : 2718;
51ab : 5217;
51ac : 7820;
51ad : 10074;
51ae : 9067;
51af : 8693;
51b0 : 7368;
51b1 : 6338;
51b2 : 7033;
51b3 : 8147;
51b4 : 11286;
51b5 : 12823;
51b6 : 10629;
51b7 : 8771;
51b8 : 5316;
51b9 : 2682;
51ba : 2666;
51bb : 3302;
51bc : 7195;
51bd : 10619;
51be : 12852;
51bf : 13336;
51c0 : 11373;
51c1 : 8033;
51c2 : 5941;
51c3 : 4838;
51c4 : 5685;
51c5 : 8585;
51c6 : 11358;
51c7 : 13014;
51c8 : 10858;
51c9 : 6836;
51ca : 2786;
51cb : -1122;
51cc : -1408;
51cd : -265;
51ce : 1769;
51cf : 4537;
51d0 : 5722;
51d1 : 5377;
51d2 : 3513;
51d3 : 1013;
51d4 : -344;
51d5 : -2085;
51d6 : -918;
51d7 : 561;
51d8 : 564;
51d9 : 1040;
51da : -1606;
51db : -3909;
51dc : -6332;
51dd : -7029;
51de : -5638;
51df : -3981;
51e0 : -1826;
51e1 : -858;
51e2 : -1836;
51e3 : -3768;
51e4 : -4950;
51e5 : -6905;
51e6 : -7049;
51e7 : -6097;
51e8 : -4948;
51e9 : -3572;
51ea : -3804;
51eb : -4096;
51ec : -5908;
51ed : -8472;
51ee : -9146;
51ef : -7942;
51f0 : -5485;
51f1 : -1690;
51f2 : 100;
51f3 : 420;
51f4 : -415;
51f5 : -1831;
51f6 : -2066;
51f7 : -2395;
51f8 : -496;
51f9 : -24;
51fa : -1235;
51fb : -45;
51fc : -486;
51fd : -1276;
51fe : -1750;
51ff : -1930;
5200 : -1575;
5201 : -724;
5202 : 342;
5203 : 2190;
5204 : 2399;
5205 : 2290;
5206 : 1698;
5207 : 793;
5208 : 268;
5209 : -511;
520a : 117;
520b : -408;
520c : -1092;
520d : -173;
520e : -143;
520f : -1388;
5210 : -1398;
5211 : -1444;
5212 : -1949;
5213 : -1878;
5214 : -1289;
5215 : -1486;
5216 : -2246;
5217 : -1776;
5218 : -2264;
5219 : -3746;
521a : -2895;
521b : -3770;
521c : -5363;
521d : -6850;
521e : -8432;
521f : -9122;
5220 : -8744;
5221 : -7256;
5222 : -6242;
5223 : -5074;
5224 : -4358;
5225 : -3530;
5226 : -3025;
5227 : -2559;
5228 : -1774;
5229 : -992;
522a : -14;
522b : 595;
522c : 1359;
522d : 1740;
522e : 1395;
522f : 301;
5230 : -1203;
5231 : -1464;
5232 : -1098;
5233 : -192;
5234 : 679;
5235 : 1946;
5236 : 2476;
5237 : 3171;
5238 : 3336;
5239 : 3912;
523a : 3966;
523b : 4579;
523c : 6557;
523d : 7033;
523e : 6994;
523f : 7131;
5240 : 5703;
5241 : 4587;
5242 : 4268;
5243 : 4555;
5244 : 4185;
5245 : 5039;
5246 : 5859;
5247 : 5876;
5248 : 5889;
5249 : 5688;
524a : 5409;
524b : 5262;
524c : 6479;
524d : 6539;
524e : 7117;
524f : 7196;
5250 : 5608;
5251 : 4308;
5252 : 1458;
5253 : -1307;
5254 : -1577;
5255 : -1176;
5256 : -885;
5257 : 992;
5258 : 1376;
5259 : 737;
525a : -642;
525b : -2026;
525c : -4078;
525d : -5110;
525e : -3272;
525f : -3549;
5260 : -3742;
5261 : -3893;
5262 : -5450;
5263 : -7544;
5264 : -8434;
5265 : -8511;
5266 : -8401;
5267 : -6339;
5268 : -3828;
5269 : -2319;
526a : -2044;
526b : -2823;
526c : -3986;
526d : -5433;
526e : -6704;
526f : -5875;
5270 : -5146;
5271 : -3839;
5272 : -3072;
5273 : -2349;
5274 : -2814;
5275 : -3674;
5276 : -3978;
5277 : -4655;
5278 : -4108;
5279 : -1989;
527a : -1005;
527b : 157;
527c : 794;
527d : 847;
527e : -727;
527f : -1634;
5280 : -561;
5281 : -711;
5282 : 933;
5283 : 1275;
5284 : 1286;
5285 : 1462;
5286 : 2003;
5287 : 1926;
5288 : 1392;
5289 : 2200;
528a : 3495;
528b : 4990;
528c : 5954;
528d : 6663;
528e : 6166;
528f : 5579;
5290 : 5105;
5291 : 5193;
5292 : 5795;
5293 : 7315;
5294 : 8562;
5295 : 8343;
5296 : 6662;
5297 : 4662;
5298 : 3724;
5299 : 2363;
529a : 1622;
529b : 2158;
529c : 2625;
529d : 3233;
529e : 4562;
529f : 5550;
52a0 : 4055;
52a1 : 3220;
52a2 : 3132;
52a3 : 1318;
52a4 : 729;
52a5 : 1634;
52a6 : 1716;
52a7 : 670;
52a8 : 511;
52a9 : -1299;
52aa : -2972;
52ab : -3281;
52ac : -3008;
52ad : -1726;
52ae : -1187;
52af : -1057;
52b0 : -495;
52b1 : -854;
52b2 : -1853;
52b3 : -2458;
52b4 : -3632;
52b5 : -3563;
52b6 : -2246;
52b7 : -669;
52b8 : -764;
52b9 : -1648;
52ba : -1596;
52bb : -3039;
52bc : -2479;
52bd : -2136;
52be : -2657;
52bf : -2681;
52c0 : -2459;
52c1 : -2055;
52c2 : -2466;
52c3 : -1892;
52c4 : -372;
52c5 : -596;
52c6 : -956;
52c7 : -920;
52c8 : -776;
52c9 : -860;
52ca : -814;
52cb : -1288;
52cc : -1788;
52cd : -1455;
52ce : -134;
52cf : 1076;
52d0 : 1945;
52d1 : 1607;
52d2 : 653;
52d3 : 169;
52d4 : 333;
52d5 : 232;
52d6 : 565;
52d7 : 1358;
52d8 : 2189;
52d9 : 3322;
52da : 3295;
52db : 2128;
52dc : 1424;
52dd : 316;
52de : 35;
52df : 746;
52e0 : 1805;
52e1 : 2605;
52e2 : 3411;
52e3 : 3185;
52e4 : 1401;
52e5 : 648;
52e6 : 920;
52e7 : 1301;
52e8 : 1680;
52e9 : 1281;
52ea : 940;
52eb : -1182;
52ec : -2079;
52ed : -2430;
52ee : -2642;
52ef : -2061;
52f0 : -875;
52f1 : 27;
52f2 : 117;
52f3 : 61;
52f4 : -715;
52f5 : -1954;
52f6 : -2381;
52f7 : -2194;
52f8 : -1482;
52f9 : -532;
52fa : -393;
52fb : -449;
52fc : -1946;
52fd : -2837;
52fe : -3863;
52ff : -4102;
5300 : -3418;
5301 : -2944;
5302 : -1762;
5303 : -1231;
5304 : -258;
5305 : 609;
5306 : -853;
5307 : -952;
5308 : -1369;
5309 : -1557;
530a : -700;
530b : -699;
530c : 317;
530d : 172;
530e : -783;
530f : -1364;
5310 : -2300;
5311 : -2582;
5312 : -1950;
5313 : -790;
5314 : 185;
5315 : 919;
5316 : 1802;
5317 : 2649;
5318 : 2604;
5319 : 2040;
531a : 1428;
531b : 1765;
531c : 2108;
531d : 2891;
531e : 3291;
531f : 3576;
5320 : 3733;
5321 : 2767;
5322 : 2133;
5323 : 1640;
5324 : 1524;
5325 : 1617;
5326 : 2109;
5327 : 2680;
5328 : 2493;
5329 : 2367;
532a : 1654;
532b : 983;
532c : 329;
532d : -564;
532e : -526;
532f : -266;
5330 : 226;
5331 : 1091;
5332 : 880;
5333 : -87;
5334 : 165;
5335 : 951;
5336 : 302;
5337 : -16;
5338 : -701;
5339 : -2400;
533a : -2839;
533b : -2598;
533c : -2796;
533d : -1111;
533e : -62;
533f : -388;
5340 : -240;
5341 : -488;
5342 : -414;
5343 : -303;
5344 : -381;
5345 : -774;
5346 : -639;
5347 : -365;
5348 : -419;
5349 : -393;
534a : -1312;
534b : -2131;
534c : -3005;
534d : -3002;
534e : -3303;
534f : -2792;
5350 : -2709;
5351 : -2893;
5352 : -2854;
5353 : -2628;
5354 : -1573;
5355 : -1202;
5356 : -1078;
5357 : -1221;
5358 : -1358;
5359 : -898;
535a : -186;
535b : 134;
535c : 635;
535d : 1434;
535e : 1405;
535f : 1786;
5360 : 2458;
5361 : 1935;
5362 : 1405;
5363 : 920;
5364 : 923;
5365 : 1647;
5366 : 2003;
5367 : 2781;
5368 : 3087;
5369 : 2808;
536a : 1999;
536b : 2230;
536c : 1713;
536d : 1754;
536e : 2625;
536f : 2651;
5370 : 2554;
5371 : 2715;
5372 : 2470;
5373 : 1917;
5374 : 688;
5375 : -253;
5376 : -936;
5377 : -643;
5378 : 188;
5379 : 576;
537a : 1089;
537b : 1056;
537c : 1176;
537d : 782;
537e : 343;
537f : 581;
5380 : -23;
5381 : -799;
5382 : -542;
5383 : -199;
5384 : 25;
5385 : 227;
5386 : 662;
5387 : 75;
5388 : -566;
5389 : -815;
538a : -2122;
538b : -2324;
538c : -2556;
538d : -2284;
538e : -1941;
538f : -1914;
5390 : -1559;
5391 : -1406;
5392 : -1742;
5393 : -2192;
5394 : -2369;
5395 : -2456;
5396 : -1540;
5397 : -1079;
5398 : -701;
5399 : -436;
539a : -629;
539b : -693;
539c : -1558;
539d : -2124;
539e : -2209;
539f : -2428;
53a0 : -1727;
53a1 : -1241;
53a2 : -1348;
53a3 : -803;
53a4 : -488;
53a5 : 84;
53a6 : 758;
53a7 : 1597;
53a8 : 2306;
53a9 : 2073;
53aa : 1551;
53ab : 1551;
53ac : 1431;
53ad : 1252;
53ae : 2293;
53af : 3044;
53b0 : 3077;
53b1 : 2462;
53b2 : 1518;
53b3 : -91;
53b4 : -644;
53b5 : -485;
53b6 : 828;
53b7 : 2134;
53b8 : 3255;
53b9 : 3443;
53ba : 2323;
53bb : 929;
53bc : -89;
53bd : -134;
53be : -276;
53bf : 614;
53c0 : 1644;
53c1 : 2250;
53c2 : 2067;
53c3 : 1467;
53c4 : 112;
53c5 : -1053;
53c6 : -1391;
53c7 : -1391;
53c8 : -990;
53c9 : -544;
53ca : -186;
53cb : -610;
53cc : -1101;
53cd : -1531;
53ce : -1368;
53cf : -1016;
53d0 : -432;
53d1 : -344;
53d2 : -1131;
53d3 : -1209;
53d4 : -1657;
53d5 : -2842;
53d6 : -2539;
53d7 : -1425;
53d8 : -593;
53d9 : 310;
53da : 500;
53db : -767;
53dc : -2220;
53dd : -3322;
53de : -3622;
53df : -2253;
53e0 : -1197;
53e1 : -16;
53e2 : 240;
53e3 : -379;
53e4 : -938;
53e5 : -1513;
53e6 : -1932;
53e7 : -2223;
53e8 : -1541;
53e9 : -233;
53ea : 509;
53eb : 1130;
53ec : 1492;
53ed : 625;
53ee : -1;
53ef : -931;
53f0 : -963;
53f1 : 31;
53f2 : 852;
53f3 : 1951;
53f4 : 1285;
53f5 : 1187;
53f6 : 899;
53f7 : 984;
53f8 : 1595;
53f9 : 1931;
53fa : 1349;
53fb : 789;
53fc : 1131;
53fd : 1218;
53fe : 1776;
53ff : 2649;
5400 : 3168;
5401 : 3554;
5402 : 3500;
5403 : 3156;
5404 : 2539;
5405 : 662;
5406 : -213;
5407 : -170;
5408 : 229;
5409 : 1050;
540a : 2226;
540b : 2392;
540c : 1296;
540d : 577;
540e : 208;
540f : 257;
5410 : 834;
5411 : 1876;
5412 : 1755;
5413 : 1556;
5414 : 1340;
5415 : 980;
5416 : -329;
5417 : -691;
5418 : -1323;
5419 : -1240;
541a : -866;
541b : -401;
541c : -327;
541d : -1248;
541e : -2060;
541f : -3170;
5420 : -2778;
5421 : -2099;
5422 : -1261;
5423 : -1478;
5424 : -1795;
5425 : -2201;
5426 : -2233;
5427 : -1646;
5428 : -1079;
5429 : -955;
542a : -711;
542b : -1357;
542c : -2445;
542d : -2828;
542e : -4340;
542f : -4769;
5430 : -3930;
5431 : -3066;
5432 : -2162;
5433 : -1198;
5434 : -935;
5435 : -943;
5436 : -1180;
5437 : -1115;
5438 : -1299;
5439 : -1202;
543a : 18;
543b : 1362;
543c : 2127;
543d : 1811;
543e : 1503;
543f : 939;
5440 : 257;
5441 : -478;
5442 : 123;
5443 : -88;
5444 : 618;
5445 : 1449;
5446 : 1817;
5447 : 2198;
5448 : 2261;
5449 : 2852;
544a : 2737;
544b : 2162;
544c : 1617;
544d : 1340;
544e : 1500;
544f : 1626;
5450 : 2087;
5451 : 3325;
5452 : 3027;
5453 : 2944;
5454 : 2507;
5455 : 1015;
5456 : 133;
5457 : -523;
5458 : -57;
5459 : 126;
545a : 464;
545b : 1291;
545c : 1019;
545d : 529;
545e : 757;
545f : 411;
5460 : 284;
5461 : 64;
5462 : -49;
5463 : 584;
5464 : 1136;
5465 : 1345;
5466 : 936;
5467 : 68;
5468 : -1230;
5469 : -1451;
546a : -1355;
546b : -1020;
546c : -621;
546d : -781;
546e : -1430;
546f : -1492;
5470 : -1358;
5471 : -1429;
5472 : -594;
5473 : -791;
5474 : -1245;
5475 : -1319;
5476 : -1532;
5477 : -1031;
5478 : 836;
5479 : 2544;
547a : 2216;
547b : 874;
547c : -475;
547d : -2100;
547e : -6105;
547f : -7506;
5480 : -7960;
5481 : -3884;
5482 : 1291;
5483 : 5108;
5484 : 5972;
5485 : 3334;
5486 : 566;
5487 : 316;
5488 : 444;
5489 : 1451;
548a : 2287;
548b : 1912;
548c : 200;
548d : -1306;
548e : -1526;
548f : -1459;
5490 : -1793;
5491 : -1372;
5492 : -263;
5493 : 842;
5494 : 2840;
5495 : 4037;
5496 : 1917;
5497 : 102;
5498 : -876;
5499 : 1;
549a : 1369;
549b : 4624;
549c : 6215;
549d : 5037;
549e : 243;
549f : -6304;
54a0 : -7513;
54a1 : -7684;
54a2 : -5336;
54a3 : 2596;
54a4 : 4539;
54a5 : 3324;
54a6 : -1610;
54a7 : -4308;
54a8 : -4416;
54a9 : -5047;
54aa : -4262;
54ab : -2805;
54ac : -3070;
54ad : 2472;
54ae : 445;
54af : -1701;
54b0 : -3855;
54b1 : -6723;
54b2 : -5435;
54b3 : -5733;
54b4 : -5404;
54b5 : 1381;
54b6 : 1043;
54b7 : 4612;
54b8 : 3072;
54b9 : 4038;
54ba : 6687;
54bb : 10333;
54bc : 12167;
54bd : 10220;
54be : 8128;
54bf : 2014;
54c0 : -4507;
54c1 : -8825;
54c2 : -6434;
54c3 : -2764;
54c4 : 3923;
54c5 : 5170;
54c6 : 3167;
54c7 : 1307;
54c8 : -103;
54c9 : 1380;
54ca : 916;
54cb : 1950;
54cc : 289;
54cd : 2665;
54ce : 2648;
54cf : 677;
54d0 : -1815;
54d1 : -4760;
54d2 : -5935;
54d3 : -4734;
54d4 : -4313;
54d5 : -758;
54d6 : 1991;
54d7 : -968;
54d8 : -5149;
54d9 : -8423;
54da : -6252;
54db : -3573;
54dc : 1207;
54dd : 6771;
54de : 9514;
54df : 3775;
54e0 : -3446;
54e1 : -10438;
54e2 : -10622;
54e3 : -5496;
54e4 : 4241;
54e5 : 10039;
54e6 : 10713;
54e7 : 6919;
54e8 : 3526;
54e9 : 2096;
54ea : 2555;
54eb : 4973;
54ec : 5811;
54ed : 5756;
54ee : 5109;
54ef : 4175;
54f0 : 3884;
54f1 : 1791;
54f2 : 118;
54f3 : 1139;
54f4 : -1080;
54f5 : 1980;
54f6 : 5779;
54f7 : 3926;
54f8 : -443;
54f9 : -6210;
54fa : -6151;
54fb : -6301;
54fc : -3977;
54fd : 2169;
54fe : 5852;
54ff : 4232;
5500 : -2032;
5501 : -8993;
5502 : -15296;
5503 : -14589;
5504 : -10016;
5505 : -2738;
5506 : 243;
5507 : -1448;
5508 : -1758;
5509 : 745;
550a : 177;
550b : 1207;
550c : -509;
550d : -1575;
550e : -2156;
550f : -2202;
5510 : -1746;
5511 : -685;
5512 : -732;
5513 : -2549;
5514 : -2510;
5515 : -1382;
5516 : 1226;
5517 : 6293;
5518 : 6291;
5519 : 4139;
551a : 2294;
551b : 1540;
551c : 2583;
551d : 4227;
551e : 5748;
551f : 6932;
5520 : 4635;
5521 : -2503;
5522 : -8155;
5523 : -10492;
5524 : -7413;
5525 : -61;
5526 : 6409;
5527 : 7051;
5528 : 1099;
5529 : -1533;
552a : -1278;
552b : 1809;
552c : 1648;
552d : 498;
552e : 2616;
552f : 1972;
5530 : 3849;
5531 : 3229;
5532 : 657;
5533 : -1914;
5534 : -2349;
5535 : -3045;
5536 : -722;
5537 : 899;
5538 : 2413;
5539 : 1006;
553a : -2110;
553b : -1001;
553c : 200;
553d : 2147;
553e : 5982;
553f : 7883;
5540 : 6853;
5541 : -212;
5542 : -6804;
5543 : -12452;
5544 : -12472;
5545 : -4997;
5546 : 2852;
5547 : 4757;
5548 : 2893;
5549 : -762;
554a : -867;
554b : -763;
554c : 927;
554d : 1034;
554e : 1655;
554f : 1934;
5550 : 2224;
5551 : 1814;
5552 : 635;
5553 : -2487;
5554 : -4651;
5555 : -5379;
5556 : -5529;
5557 : -1783;
5558 : 1734;
5559 : 3877;
555a : 2217;
555b : -255;
555c : -835;
555d : -2892;
555e : 489;
555f : 2881;
5560 : 6106;
5561 : 5721;
5562 : 204;
5563 : -5008;
5564 : -9241;
5565 : -7875;
5566 : -2341;
5567 : 2537;
5568 : 2769;
5569 : 1375;
556a : 1198;
556b : 2743;
556c : 3818;
556d : 4662;
556e : 2203;
556f : 645;
5570 : -620;
5571 : 128;
5572 : 554;
5573 : 276;
5574 : -2734;
5575 : -3705;
5576 : -3834;
5577 : -1862;
5578 : 1584;
5579 : 3507;
557a : 2400;
557b : 231;
557c : -1200;
557d : -2322;
557e : -1594;
557f : 1625;
5580 : 5588;
5581 : 6838;
5582 : 4007;
5583 : -232;
5584 : -4268;
5585 : -7229;
5586 : -4647;
5587 : -328;
5588 : 2352;
5589 : 1269;
558a : -1944;
558b : -448;
558c : -258;
558d : 2054;
558e : 1204;
558f : -113;
5590 : 93;
5591 : 735;
5592 : 742;
5593 : 38;
5594 : -1706;
5595 : -3344;
5596 : -4282;
5597 : -3751;
5598 : -2146;
5599 : 3097;
559a : 2179;
559b : -32;
559c : -2040;
559d : -3574;
559e : -2200;
559f : 812;
55a0 : 3912;
55a1 : 6607;
55a2 : 5959;
55a3 : 1270;
55a4 : -3710;
55a5 : -7480;
55a6 : -7292;
55a7 : -2064;
55a8 : 2284;
55a9 : 2724;
55aa : 1665;
55ab : 2200;
55ac : 2441;
55ad : 3339;
55ae : 2213;
55af : 170;
55b0 : -233;
55b1 : 849;
55b2 : 1842;
55b3 : 3278;
55b4 : 1908;
55b5 : 784;
55b6 : -1152;
55b7 : -3112;
55b8 : -2902;
55b9 : -1413;
55ba : 188;
55bb : 591;
55bc : 324;
55bd : 1066;
55be : 1612;
55bf : 1131;
55c0 : 2268;
55c1 : 4970;
55c2 : 4634;
55c3 : 3008;
55c4 : -1276;
55c5 : -5265;
55c6 : -6937;
55c7 : -5974;
55c8 : -1204;
55c9 : 1398;
55ca : 1333;
55cb : -1538;
55cc : 1204;
55cd : 2985;
55ce : 3987;
55cf : 3230;
55d0 : 1052;
55d1 : -1356;
55d2 : -2075;
55d3 : -490;
55d4 : 578;
55d5 : 1045;
55d6 : 106;
55d7 : -2746;
55d8 : -4780;
55d9 : -2848;
55da : -399;
55db : 1216;
55dc : 401;
55dd : -343;
55de : 71;
55df : 204;
55e0 : 2707;
55e1 : 3601;
55e2 : 5090;
55e3 : 2710;
55e4 : -2448;
55e5 : -5507;
55e6 : -8408;
55e7 : -7289;
55e8 : -1718;
55e9 : 1587;
55ea : 1411;
55eb : -1516;
55ec : -2636;
55ed : -1777;
55ee : 1507;
55ef : 2761;
55f0 : 1535;
55f1 : 877;
55f2 : 731;
55f3 : 1028;
55f4 : 1037;
55f5 : 904;
55f6 : -2091;
55f7 : -3898;
55f8 : -5586;
55f9 : -3932;
55fa : -518;
55fb : 2560;
55fc : 3591;
55fd : 1957;
55fe : 3071;
55ff : 2378;
5600 : 2642;
5601 : 3469;
5602 : 5398;
5603 : 4984;
5604 : 1287;
5605 : -821;
5606 : -4192;
5607 : -5600;
5608 : -4011;
5609 : -279;
560a : 1372;
560b : -320;
560c : -1758;
560d : -67;
560e : 2562;
560f : 4914;
5610 : 5204;
5611 : 3366;
5612 : 1070;
5613 : 409;
5614 : 936;
5615 : 1040;
5616 : -262;
5617 : -1386;
5618 : -2572;
5619 : -3632;
561a : -2870;
561b : -739;
561c : 284;
561d : -377;
561e : -266;
561f : 137;
5620 : -786;
5621 : 1289;
5622 : 2422;
5623 : 4204;
5624 : 3673;
5625 : 1065;
5626 : -1975;
5627 : -5451;
5628 : -5514;
5629 : -2648;
562a : 634;
562b : 771;
562c : -2986;
562d : -4288;
562e : -2567;
562f : 494;
5630 : 2662;
5631 : 2845;
5632 : 899;
5633 : -838;
5634 : -728;
5635 : 42;
5636 : 1442;
5637 : 1186;
5638 : 318;
5639 : -439;
563a : -1202;
563b : -336;
563c : 1160;
563d : 812;
563e : -978;
563f : -865;
5640 : -1034;
5641 : 20;
5642 : 3289;
5643 : 5801;
5644 : 7427;
5645 : 5185;
5646 : 716;
5647 : -3347;
5648 : -6727;
5649 : -5723;
564a : -2226;
564b : -349;
564c : -1454;
564d : -2996;
564e : -1103;
564f : 1591;
5650 : 2976;
5651 : 3204;
5652 : 2032;
5653 : 15;
5654 : 333;
5655 : 1231;
5656 : 2026;
5657 : 462;
5658 : -1356;
5659 : -2148;
565a : -2790;
565b : -2436;
565c : -700;
565d : 178;
565e : -348;
565f : -831;
5660 : 63;
5661 : 140;
5662 : 1021;
5663 : 2695;
5664 : 4500;
5665 : 4068;
5666 : 1357;
5667 : -1664;
5668 : -4367;
5669 : -6189;
566a : -3299;
566b : -400;
566c : 1100;
566d : -1341;
566e : -3234;
566f : -1495;
5670 : 204;
5671 : 1857;
5672 : 1882;
5673 : 91;
5674 : -1228;
5675 : -259;
5676 : 975;
5677 : 1554;
5678 : 1370;
5679 : 567;
567a : -753;
567b : -1916;
567c : -1366;
567d : -1158;
567e : -431;
567f : -836;
5680 : 263;
5681 : 546;
5682 : 961;
5683 : 1935;
5684 : 3848;
5685 : 4668;
5686 : 3419;
5687 : 694;
5688 : -2370;
5689 : -5378;
568a : -4216;
568b : -466;
568c : 1320;
568d : 414;
568e : -2505;
568f : -1541;
5690 : 700;
5691 : 2393;
5692 : 3158;
5693 : 2102;
5694 : 826;
5695 : 338;
5696 : 639;
5697 : 1044;
5698 : 641;
5699 : -252;
569a : -1037;
569b : -1697;
569c : -1606;
569d : -721;
569e : 636;
569f : 448;
56a0 : 456;
56a1 : 1358;
56a2 : 1343;
56a3 : 2116;
56a4 : 2780;
56a5 : 4295;
56a6 : 3866;
56a7 : 1495;
56a8 : -1213;
56a9 : -4521;
56aa : -5726;
56ab : -3328;
56ac : -864;
56ad : -526;
56ae : -2830;
56af : -3937;
56b0 : -1900;
56b1 : 549;
56b2 : 2591;
56b3 : 3186;
56b4 : 1814;
56b5 : 1164;
56b6 : 1026;
56b7 : 989;
56b8 : 1109;
56b9 : -125;
56ba : -1088;
56bb : -2139;
56bc : -3145;
56bd : -1897;
56be : -1895;
56bf : -1119;
56c0 : -1797;
56c1 : -1617;
56c2 : -912;
56c3 : -392;
56c4 : 719;
56c5 : 2716;
56c6 : 4167;
56c7 : 4003;
56c8 : 1956;
56c9 : -835;
56ca : -3643;
56cb : -4303;
56cc : -1443;
56cd : 388;
56ce : -1002;
56cf : -3403;
56d0 : -3019;
56d1 : -663;
56d2 : 1914;
56d3 : 3803;
56d4 : 3821;
56d5 : 2504;
56d6 : 1733;
56d7 : 1833;
56d8 : 2153;
56d9 : 1588;
56da : 563;
56db : -206;
56dc : -1005;
56dd : -473;
56de : 568;
56df : 941;
56e0 : -296;
56e1 : -916;
56e2 : -607;
56e3 : -553;
56e4 : 929;
56e5 : 2451;
56e6 : 4172;
56e7 : 4927;
56e8 : 2882;
56e9 : -271;
56ea : -3367;
56eb : -5433;
56ec : -3824;
56ed : -1708;
56ee : -766;
56ef : -1895;
56f0 : -1974;
56f1 : -167;
56f2 : 1681;
56f3 : 2518;
56f4 : 2308;
56f5 : 549;
56f6 : -330;
56f7 : -125;
56f8 : 752;
56f9 : 1731;
56fa : 987;
56fb : 65;
56fc : -279;
56fd : -1236;
56fe : -1308;
56ff : -1576;
5700 : -1465;
5701 : -2232;
5702 : -1684;
5703 : -1051;
5704 : -638;
5705 : 438;
5706 : 1976;
5707 : 3581;
5708 : 3846;
5709 : 1890;
570a : -871;
570b : -4426;
570c : -5481;
570d : -3239;
570e : 42;
570f : 628;
5710 : -1312;
5711 : -1853;
5712 : -493;
5713 : 491;
5714 : 1971;
5715 : 2406;
5716 : 958;
5717 : 701;
5718 : 930;
5719 : 1108;
571a : 1815;
571b : 1691;
571c : 990;
571d : -174;
571e : -500;
571f : -389;
5720 : 143;
5721 : 40;
5722 : -1248;
5723 : -1216;
5724 : -1116;
5725 : -88;
5726 : 1388;
5727 : 3512;
5728 : 4113;
5729 : 2310;
572a : -287;
572b : -3025;
572c : -4674;
572d : -2849;
572e : -145;
572f : 604;
5730 : -792;
5731 : -2325;
5732 : -1529;
5733 : 250;
5734 : 1148;
5735 : 1219;
5736 : 31;
5737 : -940;
5738 : 66;
5739 : 1643;
573a : 2485;
573b : 1691;
573c : 398;
573d : -702;
573e : -1886;
573f : -1694;
5740 : -1073;
5741 : -1004;
5742 : -1862;
5743 : -1067;
5744 : -188;
5745 : 706;
5746 : 1555;
5747 : 2388;
5748 : 3240;
5749 : 3235;
574a : 2084;
574b : 785;
574c : -1918;
574d : -3890;
574e : -2881;
574f : -1489;
5750 : -1612;
5751 : -3021;
5752 : -3044;
5753 : -1383;
5754 : -74;
5755 : 1457;
5756 : 2070;
5757 : 1477;
5758 : 1092;
5759 : 1056;
575a : 1039;
575b : 1262;
575c : 1012;
575d : 935;
575e : 135;
575f : -612;
5760 : -1000;
5761 : -790;
5762 : -1206;
5763 : -1842;
5764 : -790;
5765 : -293;
5766 : 823;
5767 : 1616;
5768 : 3683;
5769 : 4933;
576a : 4252;
576b : 2046;
576c : -356;
576d : -3078;
576e : -2250;
576f : -288;
5770 : 462;
5771 : -1170;
5772 : -3578;
5773 : -3260;
5774 : -1706;
5775 : 452;
5776 : 2085;
5777 : 2243;
5778 : 595;
5779 : 58;
577a : 547;
577b : 1107;
577c : 818;
577d : -270;
577e : -442;
577f : -874;
5780 : 257;
5781 : 1237;
5782 : 1887;
5783 : -206;
5784 : -1340;
5785 : -2059;
5786 : -2058;
5787 : -723;
5788 : 1441;
5789 : 4099;
578a : 4920;
578b : 2994;
578c : 973;
578d : -2377;
578e : -4044;
578f : -3069;
5790 : -1355;
5791 : -766;
5792 : -1996;
5793 : -2546;
5794 : -1564;
5795 : -498;
5796 : 27;
5797 : 100;
5798 : -653;
5799 : -680;
579a : 328;
579b : 826;
579c : 973;
579d : -133;
579e : -256;
579f : -858;
57a0 : -530;
57a1 : -136;
57a2 : 296;
57a3 : -52;
57a4 : -1444;
57a5 : -1029;
57a6 : -447;
57a7 : 561;
57a8 : 1110;
57a9 : 2835;
57aa : 4605;
57ab : 4931;
57ac : 3398;
57ad : 958;
57ae : -2327;
57af : -3258;
57b0 : -1731;
57b1 : 279;
57b2 : 699;
57b3 : -211;
57b4 : 1459;
57b5 : 2759;
57b6 : 2055;
57b7 : 679;
57b8 : -785;
57b9 : -3395;
57ba : -5697;
57bb : -5267;
57bc : -2832;
57bd : 1532;
57be : 5433;
57bf : 7377;
57c0 : 5249;
57c1 : 1452;
57c2 : -1338;
57c3 : -1113;
57c4 : -1868;
57c5 : -1276;
57c6 : 126;
57c7 : 457;
57c8 : -183;
57c9 : -120;
57ca : 1363;
57cb : 1596;
57cc : -230;
57cd : -2541;
57ce : -5561;
57cf : -3964;
57d0 : 1967;
57d1 : 4412;
57d2 : 4959;
57d3 : 3651;
57d4 : -247;
57d5 : -4849;
57d6 : -8518;
57d7 : -9766;
57d8 : -7625;
57d9 : -2575;
57da : 3828;
57db : 7611;
57dc : 6183;
57dd : 2968;
57de : -210;
57df : -1745;
57e0 : -1579;
57e1 : 1018;
57e2 : 3875;
57e3 : 3504;
57e4 : 854;
57e5 : -2560;
57e6 : -4965;
57e7 : -6559;
57e8 : -5899;
57e9 : -4557;
57ea : 754;
57eb : 8902;
57ec : 11743;
57ed : 11198;
57ee : 9379;
57ef : 2355;
57f0 : -4545;
57f1 : -8576;
57f2 : -9789;
57f3 : -9686;
57f4 : -6771;
57f5 : -853;
57f6 : 3439;
57f7 : 3868;
57f8 : 2095;
57f9 : 83;
57fa : -539;
57fb : 634;
57fc : 4007;
57fd : 6669;
57fe : 6743;
57ff : 5106;
5800 : 2561;
5801 : -2078;
5802 : -6675;
5803 : -8003;
5804 : -8015;
5805 : -6364;
5806 : 216;
5807 : 4317;
5808 : 6601;
5809 : 8568;
580a : 7001;
580b : 3776;
580c : -325;
580d : -4633;
580e : -7149;
580f : -7170;
5810 : -3315;
5811 : 506;
5812 : 2531;
5813 : 1178;
5814 : -2352;
5815 : -3834;
5816 : -2710;
5817 : 307;
5818 : 3257;
5819 : 4329;
581a : 4418;
581b : 2920;
581c : 520;
581d : -2800;
581e : -5243;
581f : -7217;
5820 : -5793;
5821 : 581;
5822 : 5238;
5823 : 6805;
5824 : 8444;
5825 : 6199;
5826 : 484;
5827 : -4229;
5828 : -7362;
5829 : -8699;
582a : -6856;
582b : -456;
582c : 4989;
582d : 6623;
582e : 4150;
582f : -477;
5830 : -3676;
5831 : -4766;
5832 : -1900;
5833 : 2752;
5834 : 4113;
5835 : 3518;
5836 : 2542;
5837 : 1230;
5838 : -2251;
5839 : -5043;
583a : -6456;
583b : -6792;
583c : -863;
583d : 5247;
583e : 7258;
583f : 8811;
5840 : 7365;
5841 : 4193;
5842 : -396;
5843 : -3839;
5844 : -6228;
5845 : -5479;
5846 : -2097;
5847 : 1749;
5848 : 3227;
5849 : 2051;
584a : -1549;
584b : -2421;
584c : -1414;
584d : 2134;
584e : 5431;
584f : 6102;
5850 : 5001;
5851 : 2403;
5852 : 89;
5853 : -2422;
5854 : -5149;
5855 : -7467;
5856 : -8699;
5857 : -4191;
5858 : 1901;
5859 : 3860;
585a : 6196;
585b : 6486;
585c : 3848;
585d : 974;
585e : -2796;
585f : -5234;
5860 : -6372;
5861 : -2141;
5862 : 2680;
5863 : 4340;
5864 : 3018;
5865 : -315;
5866 : -3298;
5867 : -5415;
5868 : -4848;
5869 : -1509;
586a : 1336;
586b : 3977;
586c : 6559;
586d : 7285;
586e : 3467;
586f : -2288;
5870 : -5965;
5871 : -8470;
5872 : -5729;
5873 : 550;
5874 : 2700;
5875 : 2995;
5876 : 1645;
5877 : -604;
5878 : -2168;
5879 : -4346;
587a : -5898;
587b : -6163;
587c : -2760;
587d : 3292;
587e : 7230;
587f : 7419;
5880 : 3448;
5881 : -249;
5882 : -2146;
5883 : -3403;
5884 : -922;
5885 : 1248;
5886 : 2900;
5887 : 2769;
5888 : 2060;
5889 : -39;
588a : -2802;
588b : -3684;
588c : -3720;
588d : -69;
588e : 7040;
588f : 8110;
5890 : 7285;
5891 : 5153;
5892 : 907;
5893 : -1833;
5894 : -4107;
5895 : -5718;
5896 : -7046;
5897 : -5564;
5898 : -214;
5899 : 2968;
589a : 3529;
589b : 2063;
589c : -150;
589d : -2027;
589e : -3107;
589f : -1325;
58a0 : 1302;
58a1 : 2715;
58a2 : 4678;
58a3 : 4407;
58a4 : 2597;
58a5 : -1304;
58a6 : -3160;
58a7 : -4611;
58a8 : -4944;
58a9 : -169;
58aa : 1855;
58ab : 1365;
58ac : 1928;
58ad : 1550;
58ae : 1842;
58af : -39;
58b0 : -2427;
58b1 : -4064;
58b2 : -3803;
58b3 : -16;
58b4 : 3173;
58b5 : 3936;
58b6 : 1467;
58b7 : -2170;
58b8 : -3933;
58b9 : -4705;
58ba : -3663;
58bb : -1188;
58bc : 462;
58bd : 971;
58be : 1813;
58bf : 2275;
58c0 : 938;
58c1 : 2;
58c2 : -1491;
58c3 : -2014;
58c4 : 1358;
58c5 : 3236;
58c6 : 2310;
58c7 : 3447;
58c8 : 1851;
58c9 : -140;
58ca : -2343;
58cb : -4408;
58cc : -5200;
58cd : -3633;
58ce : 1883;
58cf : 6680;
58d0 : 7091;
58d1 : 4786;
58d2 : 1143;
58d3 : -1107;
58d4 : -2524;
58d5 : -1529;
58d6 : 171;
58d7 : -524;
58d8 : -1208;
58d9 : 8;
58da : 1045;
58db : 102;
58dc : -767;
58dd : -2288;
58de : -4283;
58df : -857;
58e0 : 3081;
58e1 : 3258;
58e2 : 3223;
58e3 : 3077;
58e4 : 1289;
58e5 : -838;
58e6 : -3062;
58e7 : -3834;
58e8 : -4184;
58e9 : -2102;
58ea : 1178;
58eb : 2596;
58ec : 1631;
58ed : -559;
58ee : -523;
58ef : 475;
58f0 : 1156;
58f1 : 2226;
58f2 : 1916;
58f3 : 431;
58f4 : 229;
58f5 : 1062;
58f6 : 753;
58f7 : -1202;
58f8 : -3369;
58f9 : -5187;
58fa : -3475;
58fb : 665;
58fc : 1634;
58fd : 2947;
58fe : 3148;
58ff : 1246;
5900 : -1458;
5901 : -3019;
5902 : -3842;
5903 : -3542;
5904 : 25;
5905 : 3704;
5906 : 4062;
5907 : 1665;
5908 : -1038;
5909 : -2829;
590a : -3054;
590b : -2844;
590c : -1145;
590d : -362;
590e : 371;
590f : 2916;
5910 : 5792;
5911 : 5478;
5912 : 3453;
5913 : 616;
5914 : -3029;
5915 : -3275;
5916 : 434;
5917 : 1365;
5918 : 849;
5919 : 34;
591a : -1145;
591b : -2191;
591c : -2934;
591d : -2593;
591e : -2312;
591f : -421;
5920 : 3382;
5921 : 5225;
5922 : 4357;
5923 : 940;
5924 : -403;
5925 : -1103;
5926 : -1034;
5927 : -324;
5928 : 109;
5929 : -491;
592a : -380;
592b : 49;
592c : 619;
592d : -350;
592e : -879;
592f : -1730;
5930 : -221;
5931 : 3803;
5932 : 4496;
5933 : 3323;
5934 : 2167;
5935 : 12;
5936 : -1945;
5937 : -2864;
5938 : -3199;
5939 : -4040;
593a : -2648;
593b : 536;
593c : 2108;
593d : 1571;
593e : -725;
593f : -2709;
5940 : -2723;
5941 : -2283;
5942 : 242;
5943 : 1575;
5944 : 1435;
5945 : 1994;
5946 : 2381;
5947 : 1739;
5948 : -408;
5949 : -1228;
594a : -2814;
594b : -3714;
594c : -338;
594d : 1178;
594e : 639;
594f : 1468;
5950 : 1683;
5951 : 1110;
5952 : -844;
5953 : -1832;
5954 : -2887;
5955 : -2574;
5956 : -159;
5957 : 2091;
5958 : 2719;
5959 : 875;
595a : -898;
595b : -851;
595c : -1521;
595d : -931;
595e : 132;
595f : 210;
5960 : 799;
5961 : 1891;
5962 : 2535;
5963 : 1506;
5964 : -184;
5965 : -302;
5966 : -1015;
5967 : 2284;
5968 : 3740;
5969 : 2843;
596a : 2900;
596b : 1456;
596c : -473;
596d : -2222;
596e : -3751;
596f : -4269;
5970 : -3313;
5971 : 901;
5972 : 4086;
5973 : 4576;
5974 : 3086;
5975 : 346;
5976 : -1497;
5977 : -2740;
5978 : -1882;
5979 : -512;
597a : -1141;
597b : -759;
597c : 166;
597d : 849;
597e : -24;
597f : -1152;
5980 : -2438;
5981 : -4126;
5982 : -1780;
5983 : 2537;
5984 : 2429;
5985 : 3504;
5986 : 3519;
5987 : 1528;
5988 : -1212;
5989 : -3350;
598a : -3525;
598b : -3449;
598c : -1406;
598d : 1238;
598e : 1829;
598f : 829;
5990 : -698;
5991 : -599;
5992 : -379;
5993 : -747;
5994 : 932;
5995 : 1201;
5996 : 1055;
5997 : 1609;
5998 : 1776;
5999 : 220;
599a : -2552;
599b : -4099;
599c : -5410;
599d : -3426;
599e : 1191;
599f : 2835;
59a0 : 4017;
59a1 : 4160;
59a2 : 2263;
59a3 : 261;
59a4 : -1180;
59a5 : -2330;
59a6 : -1639;
59a7 : 279;
59a8 : 3220;
59a9 : 3366;
59aa : 2360;
59ab : 133;
59ac : -1562;
59ad : -2398;
59ae : -2564;
59af : -783;
59b0 : 914;
59b1 : 2532;
59b2 : 5100;
59b3 : 6002;
59b4 : 4489;
59b5 : 1470;
59b6 : -952;
59b7 : -3700;
59b8 : -4260;
59b9 : -1186;
59ba : -380;
59bb : -19;
59bc : 1455;
59bd : 662;
59be : -1405;
59bf : -3154;
59c0 : -3626;
59c1 : -3795;
59c2 : -2067;
59c3 : 895;
59c4 : 2536;
59c5 : 1799;
59c6 : 529;
59c7 : -526;
59c8 : -747;
59c9 : -2086;
59ca : -2123;
59cb : -1225;
59cc : -1295;
59cd : -1174;
59ce : -638;
59cf : -1154;
59d0 : -3013;
59d1 : -3897;
59d2 : -4027;
59d3 : -2655;
59d4 : 1291;
59d5 : 3123;
59d6 : 2834;
59d7 : 3128;
59d8 : 1732;
59d9 : 84;
59da : -1512;
59db : -2552;
59dc : -2973;
59dd : -1669;
59de : 1085;
59df : 2751;
59e0 : 3489;
59e1 : 2584;
59e2 : 1213;
59e3 : 512;
59e4 : 39;
59e5 : 1904;
59e6 : 3960;
59e7 : 5992;
59e8 : 7499;
59e9 : 8225;
59ea : 6556;
59eb : 4051;
59ec : 1782;
59ed : -334;
59ee : -1576;
59ef : 919;
59f0 : 2698;
59f1 : 3334;
59f2 : 4798;
59f3 : 5602;
59f4 : 4240;
59f5 : 1993;
59f6 : 476;
59f7 : -1227;
59f8 : -1781;
59f9 : 19;
59fa : 1476;
59fb : 852;
59fc : -1584;
59fd : -4234;
59fe : -5747;
59ff : -6969;
5a00 : -6206;
5a01 : -4452;
5a02 : -4164;
5a03 : -3089;
5a04 : -1912;
5a05 : -1042;
5a06 : -2579;
5a07 : -3789;
5a08 : -5141;
5a09 : -6712;
5a0a : -5715;
5a0b : -4199;
5a0c : -4369;
5a0d : -3356;
5a0e : -2990;
5a0f : -3710;
5a10 : -5310;
5a11 : -5856;
5a12 : -5604;
5a13 : -3913;
5a14 : -258;
5a15 : 2278;
5a16 : 3172;
5a17 : 2053;
5a18 : 151;
5a19 : -926;
5a1a : -2370;
5a1b : -2394;
5a1c : -688;
5a1d : 720;
5a1e : 2568;
5a1f : 4261;
5a20 : 5348;
5a21 : 4762;
5a22 : 3033;
5a23 : 1363;
5a24 : -816;
5a25 : -50;
5a26 : 3501;
5a27 : 4715;
5a28 : 6945;
5a29 : 8532;
5a2a : 8282;
5a2b : 5594;
5a2c : 3182;
5a2d : 1017;
5a2e : -626;
5a2f : 269;
5a30 : 2719;
5a31 : 3718;
5a32 : 3526;
5a33 : 1795;
5a34 : 1031;
5a35 : -66;
5a36 : -750;
5a37 : 396;
5a38 : 1090;
5a39 : 1257;
5a3a : 1564;
5a3b : 2012;
5a3c : 1550;
5a3d : -514;
5a3e : -1829;
5a3f : -3548;
5a40 : -3585;
5a41 : -898;
5a42 : -400;
5a43 : -366;
5a44 : 588;
5a45 : -494;
5a46 : -945;
5a47 : -2019;
5a48 : -2232;
5a49 : -1977;
5a4a : -256;
5a4b : 1677;
5a4c : 1730;
5a4d : 802;
5a4e : -579;
5a4f : -1526;
5a50 : -2276;
5a51 : -2894;
5a52 : -1662;
5a53 : -657;
5a54 : 699;
5a55 : 3001;
5a56 : 3611;
5a57 : 2822;
5a58 : 600;
5a59 : -1018;
5a5a : -2573;
5a5b : -3019;
5a5c : -11;
5a5d : 673;
5a5e : -173;
5a5f : 81;
5a60 : -422;
5a61 : -1442;
5a62 : -1742;
5a63 : -2172;
5a64 : -2830;
5a65 : -2803;
5a66 : -708;
5a67 : 1126;
5a68 : 1030;
5a69 : 570;
5a6a : 265;
5a6b : 118;
5a6c : -1906;
5a6d : -2435;
5a6e : -2109;
5a6f : -2694;
5a70 : -2739;
5a71 : -1811;
5a72 : -1697;
5a73 : -2656;
5a74 : -3230;
5a75 : -2985;
5a76 : -3126;
5a77 : -784;
5a78 : 940;
5a79 : 768;
5a7a : 2230;
5a7b : 2463;
5a7c : 1702;
5a7d : 1319;
5a7e : 10;
5a7f : -1527;
5a80 : -1892;
5a81 : -588;
5a82 : 1094;
5a83 : 2253;
5a84 : 2061;
5a85 : 1715;
5a86 : 1288;
5a87 : 992;
5a88 : 1130;
5a89 : 3182;
5a8a : 5383;
5a8b : 7207;
5a8c : 7302;
5a8d : 5402;
5a8e : 1401;
5a8f : -2471;
5a90 : -4733;
5a91 : -5444;
5a92 : -2452;
5a93 : 1450;
5a94 : 2645;
5a95 : 3861;
5a96 : 4772;
5a97 : 4412;
5a98 : 3307;
5a99 : 2077;
5a9a : -1323;
5a9b : -4460;
5a9c : -3316;
5a9d : -699;
5a9e : 1358;
5a9f : 1897;
5aa0 : -40;
5aa1 : -2120;
5aa2 : -5491;
5aa3 : -7953;
5aa4 : -8116;
5aa5 : -6538;
5aa6 : -3379;
5aa7 : -890;
5aa8 : -178;
5aa9 : -2095;
5aaa : -3821;
5aab : -3296;
5aac : -3865;
5aad : -3499;
5aae : -2699;
5aaf : -4480;
5ab0 : -2240;
5ab1 : 1349;
5ab2 : 3540;
5ab3 : 4507;
5ab4 : 3079;
5ab5 : -142;
5ab6 : -3194;
5ab7 : -4147;
5ab8 : -2754;
5ab9 : -402;
5aba : 1939;
5abb : 2999;
5abc : 3528;
5abd : 2402;
5abe : 1560;
5abf : 3848;
5ac0 : 4455;
5ac1 : 5027;
5ac2 : 5722;
5ac3 : 5121;
5ac4 : 5421;
5ac5 : 5216;
5ac6 : 5529;
5ac7 : 4794;
5ac8 : 4821;
5ac9 : 5646;
5aca : 4045;
5acb : 2426;
5acc : 2685;
5acd : 3012;
5ace : 3761;
5acf : 3764;
5ad0 : 3009;
5ad1 : 937;
5ad2 : -139;
5ad3 : 1139;
5ad4 : 1603;
5ad5 : 975;
5ad6 : 174;
5ad7 : -1013;
5ad8 : -1342;
5ad9 : -884;
5ada : 401;
5adb : 906;
5adc : 87;
5add : -1280;
5ade : -2557;
5adf : -4920;
5ae0 : -8492;
5ae1 : -9752;
5ae2 : -9660;
5ae3 : -9084;
5ae4 : -5252;
5ae5 : -4039;
5ae6 : -4470;
5ae7 : -2650;
5ae8 : -1878;
5ae9 : -2312;
5aea : -2682;
5aeb : -4041;
5aec : -3871;
5aed : -1030;
5aee : 1538;
5aef : 2567;
5af0 : 1757;
5af1 : -485;
5af2 : -2340;
5af3 : -3672;
5af4 : -5442;
5af5 : -4801;
5af6 : -3297;
5af7 : -834;
5af8 : 2430;
5af9 : 4734;
5afa : 5233;
5afb : 4052;
5afc : 3274;
5afd : 1067;
5afe : -704;
5aff : -275;
5b00 : -229;
5b01 : 577;
5b02 : 3049;
5b03 : 4407;
5b04 : 4703;
5b05 : 4415;
5b06 : 2749;
5b07 : 1196;
5b08 : -1279;
5b09 : -677;
5b0a : 279;
5b0b : 1036;
5b0c : 1656;
5b0d : 3450;
5b0e : 3880;
5b0f : 2368;
5b10 : 2405;
5b11 : 1502;
5b12 : 3;
5b13 : -1185;
5b14 : -1932;
5b15 : -12;
5b16 : 962;
5b17 : 1282;
5b18 : 2043;
5b19 : 761;
5b1a : 1076;
5b1b : 1114;
5b1c : -1874;
5b1d : -2591;
5b1e : -2698;
5b1f : -1695;
5b20 : -987;
5b21 : -1652;
5b22 : -2782;
5b23 : -3295;
5b24 : -1456;
5b25 : 723;
5b26 : 1282;
5b27 : 425;
5b28 : -1753;
5b29 : -1609;
5b2a : -1346;
5b2b : -521;
5b2c : 1693;
5b2d : 3242;
5b2e : 4580;
5b2f : 4978;
5b30 : 3641;
5b31 : 1091;
5b32 : -1160;
5b33 : -2253;
5b34 : -3459;
5b35 : -2339;
5b36 : -71;
5b37 : -906;
5b38 : 1293;
5b39 : 3227;
5b3a : 3150;
5b3b : 2947;
5b3c : 606;
5b3d : -646;
5b3e : -906;
5b3f : 536;
5b40 : 3048;
5b41 : 3636;
5b42 : 2582;
5b43 : 920;
5b44 : -1016;
5b45 : -4135;
5b46 : -5476;
5b47 : -5046;
5b48 : -4363;
5b49 : -3587;
5b4a : -1556;
5b4b : -799;
5b4c : -691;
5b4d : -782;
5b4e : -169;
5b4f : -957;
5b50 : -2476;
5b51 : -1675;
5b52 : -1928;
5b53 : -1330;
5b54 : 722;
5b55 : 1642;
5b56 : 2169;
5b57 : 1157;
5b58 : -135;
5b59 : -1632;
5b5a : -1142;
5b5b : 1478;
5b5c : 2808;
5b5d : 2524;
5b5e : 1453;
5b5f : 615;
5b60 : 99;
5b61 : 243;
5b62 : 941;
5b63 : 1381;
5b64 : 893;
5b65 : 662;
5b66 : 2768;
5b67 : 3793;
5b68 : 3554;
5b69 : 3219;
5b6a : 2303;
5b6b : 1422;
5b6c : 2496;
5b6d : 1381;
5b6e : 445;
5b6f : 1799;
5b70 : 1591;
5b71 : 955;
5b72 : 84;
5b73 : -602;
5b74 : -1880;
5b75 : -1357;
5b76 : 457;
5b77 : 963;
5b78 : -600;
5b79 : -2731;
5b7a : -2253;
5b7b : -1216;
5b7c : -660;
5b7d : 627;
5b7e : 1771;
5b7f : 952;
5b80 : -165;
5b81 : -1655;
5b82 : -4268;
5b83 : -7351;
5b84 : -9355;
5b85 : -11173;
5b86 : -12152;
5b87 : -9112;
5b88 : -9221;
5b89 : -9445;
5b8a : -8010;
5b8b : -7315;
5b8c : -7240;
5b8d : -8171;
5b8e : -8293;
5b8f : -5636;
5b90 : -5082;
5b91 : -4338;
5b92 : 480;
5b93 : -1892;
5b94 : 2342;
5b95 : -2049;
5b96 : -3190;
5b97 : 4857;
5b98 : 2623;
5b99 : 6941;
5b9a : 8137;
5b9b : 10759;
5b9c : 12742;
5b9d : 14508;
5b9e : 14574;
5b9f : 13530;
5ba0 : 12287;
5ba1 : 9484;
5ba2 : 9801;
5ba3 : 11430;
5ba4 : 10947;
5ba5 : 12611;
5ba6 : 13112;
5ba7 : 12832;
5ba8 : 12232;
5ba9 : 10721;
5baa : 6928;
5bab : 4571;
5bac : 6120;
5bad : 8424;
5bae : 8197;
5baf : 8381;
5bb0 : 9021;
5bb1 : 8979;
5bb2 : 7749;
5bb3 : 4654;
5bb4 : -1260;
5bb5 : -4262;
5bb6 : -4471;
5bb7 : -3078;
5bb8 : -2096;
5bb9 : -6986;
5bba : -7604;
5bbb : -6883;
5bbc : -9002;
5bbd : -7921;
5bbe : -9639;
5bbf : -11551;
5bc0 : -11273;
5bc1 : -12261;
5bc2 : -10568;
5bc3 : -11864;
5bc4 : -12778;
5bc5 : -13179;
5bc6 : -12263;
5bc7 : -9325;
5bc8 : -6849;
5bc9 : -8769;
5bca : -10957;
5bcb : -10650;
5bcc : -9454;
5bcd : -9420;
5bce : -8925;
5bcf : -7589;
5bd0 : -6006;
5bd1 : -4360;
5bd2 : -3730;
5bd3 : -4366;
5bd4 : -6129;
5bd5 : -8033;
5bd6 : -8851;
5bd7 : -10429;
5bd8 : -9298;
5bd9 : -6610;
5bda : -5825;
5bdb : -2942;
5bdc : -592;
5bdd : 1238;
5bde : 536;
5bdf : 1227;
5be0 : 3635;
5be1 : 1570;
5be2 : 3305;
5be3 : 4759;
5be4 : 4932;
5be5 : 5607;
5be6 : 4080;
5be7 : 2209;
5be8 : 2502;
5be9 : 2184;
5bea : 3021;
5beb : 4182;
5bec : 4045;
5bed : 6382;
5bee : 7392;
5bef : 7520;
5bf0 : 7408;
5bf1 : 7337;
5bf2 : 5477;
5bf3 : 5327;
5bf4 : 7089;
5bf5 : 6194;
5bf6 : 5350;
5bf7 : 5783;
5bf8 : 7593;
5bf9 : 6994;
5bfa : 7125;
5bfb : 6680;
5bfc : 4525;
5bfd : 5031;
5bfe : 7110;
5bff : 8055;
5c00 : 7660;
5c01 : 6959;
5c02 : 6859;
5c03 : 7264;
5c04 : 5675;
5c05 : 6769;
5c06 : 6537;
5c07 : 6145;
5c08 : 8606;
5c09 : 10703;
5c0a : 9678;
5c0b : 6817;
5c0c : 5881;
5c0d : 5544;
5c0e : 4532;
5c0f : 5481;
5c10 : 4182;
5c11 : 3607;
5c12 : 5091;
5c13 : 5114;
5c14 : 4399;
5c15 : 2722;
5c16 : 1140;
5c17 : 419;
5c18 : -163;
5c19 : 2346;
5c1a : 3945;
5c1b : 2310;
5c1c : 1897;
5c1d : 975;
5c1e : -232;
5c1f : -1471;
5c20 : -445;
5c21 : 989;
5c22 : -1458;
5c23 : -3484;
5c24 : -6811;
5c25 : -8183;
5c26 : -9797;
5c27 : -9832;
5c28 : -11748;
5c29 : -11971;
5c2a : -10883;
5c2b : -9219;
5c2c : -10206;
5c2d : -8435;
5c2e : -7246;
5c2f : -7178;
5c30 : -6819;
5c31 : -5299;
5c32 : -3847;
5c33 : -2949;
5c34 : -598;
5c35 : 1057;
5c36 : -734;
5c37 : -3298;
5c38 : -5424;
5c39 : -4678;
5c3a : -3124;
5c3b : -3140;
5c3c : -2475;
5c3d : -3434;
5c3e : -3802;
5c3f : -2068;
5c40 : -964;
5c41 : -176;
5c42 : 634;
5c43 : 156;
5c44 : -1034;
5c45 : 177;
5c46 : -305;
5c47 : -2009;
5c48 : -2124;
5c49 : -296;
5c4a : 1386;
5c4b : 1539;
5c4c : 73;
5c4d : -3400;
5c4e : -4070;
5c4f : -2597;
5c50 : -1458;
5c51 : -2042;
5c52 : -2631;
5c53 : -2723;
5c54 : -2294;
5c55 : -3909;
5c56 : -4959;
5c57 : -6080;
5c58 : -7683;
5c59 : -7177;
5c5a : -4922;
5c5b : -3720;
5c5c : -4021;
5c5d : -4421;
5c5e : -5725;
5c5f : -7857;
5c60 : -9030;
5c61 : -7864;
5c62 : -7938;
5c63 : -5046;
5c64 : -1765;
5c65 : -754;
5c66 : -1144;
5c67 : -3017;
5c68 : -3490;
5c69 : -3893;
5c6a : -1017;
5c6b : 2173;
5c6c : 3177;
5c6d : 2920;
5c6e : 3530;
5c6f : 3704;
5c70 : 2829;
5c71 : 2763;
5c72 : 5348;
5c73 : 6160;
5c74 : 6987;
5c75 : 7379;
5c76 : 7437;
5c77 : 7267;
5c78 : 4932;
5c79 : 5004;
5c7a : 5358;
5c7b : 6690;
5c7c : 10446;
5c7d : 10708;
5c7e : 9536;
5c7f : 9224;
5c80 : 6748;
5c81 : 6450;
5c82 : 7251;
5c83 : 8246;
5c84 : 9005;
5c85 : 9925;
5c86 : 11324;
5c87 : 9977;
5c88 : 6433;
5c89 : 1969;
5c8a : 1025;
5c8b : 921;
5c8c : 1431;
5c8d : 2122;
5c8e : 1106;
5c8f : -634;
5c90 : -1128;
5c91 : -1278;
5c92 : -3122;
5c93 : -3435;
5c94 : -3518;
5c95 : -3967;
5c96 : -4966;
5c97 : -4027;
5c98 : -6050;
5c99 : -7718;
5c9a : -6795;
5c9b : -5102;
5c9c : -3852;
5c9d : -4374;
5c9e : -5972;
5c9f : -7307;
5ca0 : -7223;
5ca1 : -7425;
5ca2 : -7233;
5ca3 : -7528;
5ca4 : -6914;
5ca5 : -4646;
5ca6 : -4175;
5ca7 : -4994;
5ca8 : -6278;
5ca9 : -7735;
5caa : -6617;
5cab : -3606;
5cac : -743;
5cad : 1282;
5cae : 553;
5caf : -157;
5cb0 : -1844;
5cb1 : -3770;
5cb2 : -2727;
5cb3 : -1052;
5cb4 : 198;
5cb5 : 2209;
5cb6 : 2313;
5cb7 : 1768;
5cb8 : -185;
5cb9 : -823;
5cba : -1097;
5cbb : 196;
5cbc : 3392;
5cbd : 4872;
5cbe : 5352;
5cbf : 4179;
5cc0 : 3424;
5cc1 : 1655;
5cc2 : 55;
5cc3 : 1478;
5cc4 : 3650;
5cc5 : 5102;
5cc6 : 5513;
5cc7 : 6449;
5cc8 : 5812;
5cc9 : 2988;
5cca : 705;
5ccb : -905;
5ccc : -1318;
5ccd : 1036;
5cce : 2674;
5ccf : 2324;
5cd0 : 2875;
5cd1 : 2410;
5cd2 : 1878;
5cd3 : 1686;
5cd4 : 2233;
5cd5 : 3329;
5cd6 : 3592;
5cd7 : 5283;
5cd8 : 5219;
5cd9 : 2452;
5cda : -426;
5cdb : -1698;
5cdc : 501;
5cdd : 1859;
5cde : 2738;
5cdf : 3171;
5ce0 : 1755;
5ce1 : 1284;
5ce2 : 1191;
5ce3 : 720;
5ce4 : 655;
5ce5 : -43;
5ce6 : 590;
5ce7 : 691;
5ce8 : 1155;
5ce9 : 2251;
5cea : -79;
5ceb : -69;
5cec : 1513;
5ced : 1931;
5cee : 1546;
5cef : 385;
5cf0 : 355;
5cf1 : -149;
5cf2 : 373;
5cf3 : 877;
5cf4 : -1226;
5cf5 : -1572;
5cf6 : -968;
5cf7 : -840;
5cf8 : -588;
5cf9 : -2048;
5cfa : -1780;
5cfb : -1681;
5cfc : -814;
5cfd : 1862;
5cfe : 2988;
5cff : 2248;
5d00 : 1486;
5d01 : -369;
5d02 : -3253;
5d03 : -5326;
5d04 : -4554;
5d05 : -3755;
5d06 : -1873;
5d07 : 1757;
5d08 : 1588;
5d09 : 459;
5d0a : -887;
5d0b : -3516;
5d0c : -4259;
5d0d : -2598;
5d0e : -304;
5d0f : 1016;
5d10 : 1074;
5d11 : -190;
5d12 : 225;
5d13 : -415;
5d14 : -1090;
5d15 : 299;
5d16 : 590;
5d17 : 155;
5d18 : 2555;
5d19 : 4393;
5d1a : 2841;
5d1b : 1105;
5d1c : -726;
5d1d : -2410;
5d1e : -1274;
5d1f : 1395;
5d20 : 2064;
5d21 : 1748;
5d22 : 1624;
5d23 : 897;
5d24 : 180;
5d25 : 249;
5d26 : 468;
5d27 : 1256;
5d28 : 2933;
5d29 : 4108;
5d2a : 2798;
5d2b : -637;
5d2c : -2902;
5d2d : -3048;
5d2e : -2515;
5d2f : -2180;
5d30 : -1310;
5d31 : -1436;
5d32 : -1945;
5d33 : -1343;
5d34 : -2075;
5d35 : -2648;
5d36 : -3434;
5d37 : -3626;
5d38 : -3493;
5d39 : -4111;
5d3a : -3767;
5d3b : -3999;
5d3c : -5344;
5d3d : -3179;
5d3e : -1018;
5d3f : -1180;
5d40 : -995;
5d41 : -638;
5d42 : -1054;
5d43 : -1254;
5d44 : -751;
5d45 : -854;
5d46 : -1579;
5d47 : -886;
5d48 : 653;
5d49 : 2150;
5d4a : 2087;
5d4b : 1085;
5d4c : 387;
5d4d : -927;
5d4e : 119;
5d4f : 2516;
5d50 : 3839;
5d51 : 3854;
5d52 : 3818;
5d53 : 2592;
5d54 : -456;
5d55 : 654;
5d56 : 2360;
5d57 : 2437;
5d58 : 4486;
5d59 : 5959;
5d5a : 5512;
5d5b : 3993;
5d5c : 1755;
5d5d : -87;
5d5e : -461;
5d5f : 1011;
5d60 : 3170;
5d61 : 3508;
5d62 : 2773;
5d63 : 2611;
5d64 : 1946;
5d65 : 299;
5d66 : -184;
5d67 : 502;
5d68 : -371;
5d69 : 455;
5d6a : 2419;
5d6b : 2586;
5d6c : 457;
5d6d : -2200;
5d6e : -4342;
5d6f : -6059;
5d70 : -5454;
5d71 : -3993;
5d72 : -4254;
5d73 : -3718;
5d74 : -2886;
5d75 : -2749;
5d76 : -3509;
5d77 : -3240;
5d78 : -2607;
5d79 : -2133;
5d7a : -346;
5d7b : 387;
5d7c : -1057;
5d7d : -3200;
5d7e : -2631;
5d7f : -1209;
5d80 : -199;
5d81 : 434;
5d82 : 1413;
5d83 : 751;
5d84 : -350;
5d85 : 221;
5d86 : 388;
5d87 : -31;
5d88 : 295;
5d89 : 1440;
5d8a : 1014;
5d8b : 1201;
5d8c : 2284;
5d8d : 996;
5d8e : 241;
5d8f : 1430;
5d90 : 1494;
5d91 : 1031;
5d92 : 1908;
5d93 : 2744;
5d94 : 1889;
5d95 : 2240;
5d96 : 2384;
5d97 : 2027;
5d98 : 1634;
5d99 : 2032;
5d9a : 3367;
5d9b : 2552;
5d9c : 873;
5d9d : 126;
5d9e : 58;
5d9f : -643;
5da0 : 1310;
5da1 : 2993;
5da2 : 2832;
5da3 : 2434;
5da4 : 744;
5da5 : -1554;
5da6 : -3150;
5da7 : -1392;
5da8 : -1357;
5da9 : -1032;
5daa : 670;
5dab : 1463;
5dac : 565;
5dad : -1460;
5dae : -2765;
5daf : -4204;
5db0 : -3873;
5db1 : -1868;
5db2 : -450;
5db3 : -598;
5db4 : -473;
5db5 : 287;
5db6 : -47;
5db7 : -1472;
5db8 : -1213;
5db9 : -1610;
5dba : -2350;
5dbb : -385;
5dbc : 1120;
5dbd : 723;
5dbe : 272;
5dbf : -274;
5dc0 : -521;
5dc1 : -1292;
5dc2 : 297;
5dc3 : 854;
5dc4 : -697;
5dc5 : 350;
5dc6 : 540;
5dc7 : 433;
5dc8 : -97;
5dc9 : 227;
5dca : 231;
5dcb : 684;
5dcc : 1388;
5dcd : 694;
5dce : -730;
5dcf : -1130;
5dd0 : 30;
5dd1 : 743;
5dd2 : 170;
5dd3 : -594;
5dd4 : -131;
5dd5 : -1548;
5dd6 : -1460;
5dd7 : -900;
5dd8 : -1548;
5dd9 : -1701;
5dda : -718;
5ddb : -397;
5ddc : -1155;
5ddd : -528;
5dde : -913;
5ddf : -2199;
5de0 : -1881;
5de1 : -449;
5de2 : -658;
5de3 : 256;
5de4 : 831;
5de5 : 615;
5de6 : 119;
5de7 : 405;
5de8 : 975;
5de9 : 754;
5dea : 1139;
5deb : 2560;
5dec : 3372;
5ded : 1888;
5dee : 1496;
5def : 822;
5df0 : -550;
5df1 : 224;
5df2 : 1999;
5df3 : 2912;
5df4 : 2744;
5df5 : 2118;
5df6 : 1311;
5df7 : -125;
5df8 : 291;
5df9 : 2087;
5dfa : 1953;
5dfb : 3061;
5dfc : 4285;
5dfd : 3527;
5dfe : 838;
5dff : -539;
5e00 : -2032;
5e01 : -2262;
5e02 : -469;
5e03 : 1480;
5e04 : 1255;
5e05 : 998;
5e06 : 1052;
5e07 : 468;
5e08 : -708;
5e09 : -2724;
5e0a : -2627;
5e0b : -2961;
5e0c : -1045;
5e0d : 941;
5e0e : 1209;
5e0f : -559;
5e10 : -2748;
5e11 : -3077;
5e12 : -4831;
5e13 : -4843;
5e14 : -3433;
5e15 : -4636;
5e16 : -4882;
5e17 : -3112;
5e18 : -3359;
5e19 : -3000;
5e1a : -2051;
5e1b : -1245;
5e1c : -588;
5e1d : -479;
5e1e : -782;
5e1f : -1984;
5e20 : -2710;
5e21 : 766;
5e22 : 4824;
5e23 : 4865;
5e24 : 2010;
5e25 : 1293;
5e26 : -2757;
5e27 : -8758;
5e28 : -7970;
5e29 : -5968;
5e2a : -243;
5e2b : 6666;
5e2c : 10938;
5e2d : 9522;
5e2e : 4926;
5e2f : 2707;
5e30 : 1649;
5e31 : 1308;
5e32 : 2657;
5e33 : 3680;
5e34 : 4238;
5e35 : 3376;
5e36 : -210;
5e37 : -1409;
5e38 : -2651;
5e39 : -1681;
5e3a : 1587;
5e3b : 1918;
5e3c : 4667;
5e3d : 6767;
5e3e : 5435;
5e3f : 191;
5e40 : -5087;
5e41 : -5429;
5e42 : -88;
5e43 : 4598;
5e44 : 8182;
5e45 : 9380;
5e46 : 5938;
5e47 : -1815;
5e48 : -10184;
5e49 : -14085;
5e4a : -11568;
5e4b : -5348;
5e4c : 3614;
5e4d : 9604;
5e4e : 7864;
5e4f : 1368;
5e50 : -2314;
5e51 : -4060;
5e52 : -6965;
5e53 : -4592;
5e54 : 679;
5e55 : 3261;
5e56 : 2560;
5e57 : 1195;
5e58 : -2087;
5e59 : -5085;
5e5a : -5101;
5e5b : -4075;
5e5c : -2960;
5e5d : 623;
5e5e : 4061;
5e5f : 3775;
5e60 : -1237;
5e61 : -6435;
5e62 : -3292;
5e63 : 1314;
5e64 : 4129;
5e65 : 8387;
5e66 : 8082;
5e67 : 1828;
5e68 : -5611;
5e69 : -10012;
5e6a : -13061;
5e6b : -10667;
5e6c : -1102;
5e6d : 8094;
5e6e : 9447;
5e6f : 6201;
5e70 : 2722;
5e71 : 1068;
5e72 : -1082;
5e73 : -857;
5e74 : 975;
5e75 : 2086;
5e76 : 2772;
5e77 : 2359;
5e78 : -2097;
5e79 : -6143;
5e7a : -5652;
5e7b : -3203;
5e7c : -1686;
5e7d : 1592;
5e7e : 5344;
5e7f : 5119;
5e80 : 2100;
5e81 : -4320;
5e82 : -7538;
5e83 : -4230;
5e84 : 28;
5e85 : 4733;
5e86 : 8296;
5e87 : 6773;
5e88 : -409;
5e89 : -7774;
5e8a : -11976;
5e8b : -12990;
5e8c : -7660;
5e8d : 4006;
5e8e : 10822;
5e8f : 10428;
5e90 : 5255;
5e91 : 1995;
5e92 : 149;
5e93 : -2465;
5e94 : -960;
5e95 : 2304;
5e96 : 3522;
5e97 : 3715;
5e98 : 1587;
5e99 : -2035;
5e9a : -5021;
5e9b : -3436;
5e9c : -617;
5e9d : 175;
5e9e : 5238;
5e9f : 10432;
5ea0 : 9812;
5ea1 : 3332;
5ea2 : -3444;
5ea3 : -4922;
5ea4 : -2489;
5ea5 : 2380;
5ea6 : 9034;
5ea7 : 10461;
5ea8 : 6204;
5ea9 : -1413;
5eaa : -8002;
5eab : -14013;
5eac : -15801;
5ead : -6412;
5eae : 3482;
5eaf : 8139;
5eb0 : 7587;
5eb1 : 4635;
5eb2 : 2541;
5eb3 : -25;
5eb4 : -1108;
5eb5 : -1311;
5eb6 : -1833;
5eb7 : -807;
5eb8 : -1604;
5eb9 : -4841;
5eba : -5086;
5ebb : -5970;
5ebc : -4133;
5ebd : -4104;
5ebe : -2228;
5ebf : 1412;
5ec0 : 3963;
5ec1 : 3421;
5ec2 : -1811;
5ec3 : -4058;
5ec4 : -1150;
5ec5 : 1976;
5ec6 : 5404;
5ec7 : 8420;
5ec8 : 7200;
5ec9 : 1634;
5eca : -5309;
5ecb : -9681;
5ecc : -12878;
5ecd : -9316;
5ece : 415;
5ecf : 7122;
5ed0 : 7462;
5ed1 : 2628;
5ed2 : 2061;
5ed3 : 1775;
5ed4 : 521;
5ed5 : 1821;
5ed6 : 3228;
5ed7 : 3318;
5ed8 : 3618;
5ed9 : 1371;
5eda : -1175;
5edb : -3230;
5edc : -2119;
5edd : -822;
5ede : -1229;
5edf : 2129;
5ee0 : 4576;
5ee1 : 5159;
5ee2 : -98;
5ee3 : -4825;
5ee4 : -3511;
5ee5 : -459;
5ee6 : 3211;
5ee7 : 8138;
5ee8 : 8031;
5ee9 : 3066;
5eea : -3552;
5eeb : -9602;
5eec : -14199;
5eed : -14701;
5eee : -6542;
5eef : 1634;
5ef0 : 7120;
5ef1 : 4250;
5ef2 : -739;
5ef3 : -1170;
5ef4 : -2155;
5ef5 : -2545;
5ef6 : -936;
5ef7 : 979;
5ef8 : 1909;
5ef9 : 1375;
5efa : -1253;
5efb : -2367;
5efc : -4593;
5efd : -3632;
5efe : -4216;
5eff : -1376;
5f00 : 2382;
5f01 : 6506;
5f02 : 7497;
5f03 : 1978;
5f04 : -1340;
5f05 : -535;
5f06 : 890;
5f07 : 2998;
5f08 : 7386;
5f09 : 7881;
5f0a : 3527;
5f0b : -1855;
5f0c : -5203;
5f0d : -9953;
5f0e : -8389;
5f0f : 20;
5f10 : 6121;
5f11 : 6861;
5f12 : 3748;
5f13 : 4051;
5f14 : 4222;
5f15 : 3205;
5f16 : 2721;
5f17 : 3509;
5f18 : 2795;
5f19 : 2881;
5f1a : 1273;
5f1b : -1133;
5f1c : -4215;
5f1d : -3049;
5f1e : -1052;
5f1f : -1993;
5f20 : 186;
5f21 : 3018;
5f22 : 3983;
5f23 : 1199;
5f24 : -1791;
5f25 : -2344;
5f26 : -1021;
5f27 : -167;
5f28 : 2843;
5f29 : 3727;
5f2a : 1503;
5f2b : -2240;
5f2c : -6723;
5f2d : -9728;
5f2e : -12148;
5f2f : -7321;
5f30 : -637;
5f31 : 4370;
5f32 : 3724;
5f33 : 1898;
5f34 : 1745;
5f35 : 1762;
5f36 : 200;
5f37 : -204;
5f38 : 1204;
5f39 : 1061;
5f3a : 386;
5f3b : -2514;
5f3c : -4280;
5f3d : -5797;
5f3e : -2743;
5f3f : -1471;
5f40 : -793;
5f41 : 1935;
5f42 : 5359;
5f43 : 4951;
5f44 : 662;
5f45 : -1541;
5f46 : 1065;
5f47 : 1851;
5f48 : 2936;
5f49 : 6073;
5f4a : 4608;
5f4b : 1957;
5f4c : -1105;
5f4d : -4032;
5f4e : -8941;
5f4f : -10380;
5f50 : -3908;
5f51 : 1653;
5f52 : 2680;
5f53 : 2452;
5f54 : 3201;
5f55 : 4171;
5f56 : 2567;
5f57 : 511;
5f58 : 749;
5f59 : -1340;
5f5a : 510;
5f5b : -285;
5f5c : -2724;
5f5d : -3921;
5f5e : -2625;
5f5f : -2175;
5f60 : -3628;
5f61 : -2756;
5f62 : -717;
5f63 : 1322;
5f64 : 574;
5f65 : -1300;
5f66 : 521;
5f67 : 3946;
5f68 : 4604;
5f69 : 7220;
5f6a : 5497;
5f6b : 2202;
5f6c : -329;
5f6d : -4404;
5f6e : -6305;
5f6f : -8267;
5f70 : -4379;
5f71 : 292;
5f72 : 3678;
5f73 : 2277;
5f74 : 780;
5f75 : 3769;
5f76 : 5356;
5f77 : 3147;
5f78 : 3807;
5f79 : 3113;
5f7a : 2090;
5f7b : 2300;
5f7c : 98;
5f7d : -2141;
5f7e : -3990;
5f7f : -908;
5f80 : -73;
5f81 : 218;
5f82 : 2320;
5f83 : 4750;
5f84 : 3578;
5f85 : -1738;
5f86 : -3426;
5f87 : 896;
5f88 : 1783;
5f89 : 3635;
5f8a : 5272;
5f8b : 1615;
5f8c : -2051;
5f8d : -5394;
5f8e : -6482;
5f8f : -9642;
5f90 : -8875;
5f91 : -2644;
5f92 : 1165;
5f93 : 1425;
5f94 : -327;
5f95 : -896;
5f96 : 694;
5f97 : 12;
5f98 : -1248;
5f99 : -1315;
5f9a : -1815;
5f9b : 1402;
5f9c : 1321;
5f9d : -302;
5f9e : -3161;
5f9f : -2907;
5fa0 : -2128;
5fa1 : -3376;
5fa2 : -1271;
5fa3 : 1015;
5fa4 : 3070;
5fa5 : 1571;
5fa6 : -2083;
5fa7 : -1193;
5fa8 : 2339;
5fa9 : 4025;
5faa : 6340;
5fab : 6808;
5fac : 4412;
5fad : 532;
5fae : -2959;
5faf : -3541;
5fb0 : -6477;
5fb1 : -3066;
5fb2 : 1432;
5fb3 : 2525;
5fb4 : 17;
5fb5 : -1672;
5fb6 : 1018;
5fb7 : 3034;
5fb8 : 3446;
5fb9 : 5909;
5fba : 5070;
5fbb : 3075;
5fbc : 2551;
5fbd : 890;
5fbe : -2974;
5fbf : -6063;
5fc0 : -3238;
5fc1 : -1814;
5fc2 : -1836;
5fc3 : 1009;
5fc4 : 3086;
5fc5 : 1765;
5fc6 : -2431;
5fc7 : -3937;
5fc8 : -1191;
5fc9 : 182;
5fca : 3757;
5fcb : 6270;
5fcc : 3047;
5fcd : -1064;
5fce : -5884;
5fcf : -9287;
5fd0 : -11860;
5fd1 : -9510;
5fd2 : -2179;
5fd3 : 2462;
5fd4 : 3900;
5fd5 : 2244;
5fd6 : 638;
5fd7 : 1300;
5fd8 : 1594;
5fd9 : 1146;
5fda : 1148;
5fdb : 430;
5fdc : 2617;
5fdd : 1687;
5fde : -1365;
5fdf : -3594;
5fe0 : -4136;
5fe1 : -1775;
5fe2 : 9;
5fe3 : 2561;
5fe4 : 4381;
5fe5 : 5991;
5fe6 : 3707;
5fe7 : -1514;
5fe8 : -1000;
5fe9 : 1443;
5fea : 2781;
5feb : 6088;
5fec : 8698;
5fed : 5827;
5fee : 2380;
5fef : -1586;
5ff0 : -3684;
5ff1 : -6889;
5ff2 : -4891;
5ff3 : 383;
5ff4 : 2978;
5ff5 : 1101;
5ff6 : -539;
5ff7 : 285;
5ff8 : 625;
5ff9 : 120;
5ffa : 1765;
5ffb : 1199;
5ffc : 334;
5ffd : 2969;
5ffe : 1747;
5fff : -1824;
6000 : -4079;
6001 : -3002;
6002 : -2610;
6003 : -3068;
6004 : -1596;
6005 : -709;
6006 : -1185;
6007 : -4060;
6008 : -4976;
6009 : -1993;
600a : 1335;
600b : 3965;
600c : 8064;
600d : 6092;
600e : 3103;
600f : -1038;
6010 : -6127;
6011 : -8611;
6012 : -8759;
6013 : -3666;
6014 : 583;
6015 : 2133;
6016 : 853;
6017 : 9;
6018 : 1365;
6019 : 1861;
601a : 1057;
601b : 2440;
601c : 2252;
601d : 3518;
601e : 3945;
601f : 353;
6020 : -3722;
6021 : -6804;
6022 : -4162;
6023 : -2298;
6024 : 309;
6025 : 4108;
6026 : 5906;
6027 : 3648;
6028 : -2135;
6029 : -2739;
602a : 470;
602b : 748;
602c : 3510;
602d : 6602;
602e : 3931;
602f : 998;
6030 : -3591;
6031 : -6227;
6032 : -9836;
6033 : -7974;
6034 : -1768;
6035 : 307;
6036 : 587;
6037 : 1101;
6038 : 1146;
6039 : 1006;
603a : -943;
603b : -809;
603c : -1560;
603d : -818;
603e : 3551;
603f : 3710;
6040 : 437;
6041 : -2509;
6042 : -2767;
6043 : -2165;
6044 : -2099;
6045 : 2030;
6046 : 4249;
6047 : 3805;
6048 : 789;
6049 : -2704;
604a : -1427;
604b : 591;
604c : 2042;
604d : 6542;
604e : 7308;
604f : 6129;
6050 : 2534;
6051 : -1299;
6052 : -3191;
6053 : -4999;
6054 : -1717;
6055 : 1518;
6056 : 1110;
6057 : -617;
6058 : -141;
6059 : 2708;
605a : 3074;
605b : 2513;
605c : 3563;
605d : 2150;
605e : 2613;
605f : 3717;
6060 : 1637;
6061 : -2251;
6062 : -5210;
6063 : -3548;
6064 : -3129;
6065 : -1358;
6066 : 2473;
6067 : 4277;
6068 : 1938;
6069 : -3547;
606a : -3652;
606b : -1827;
606c : -1815;
606d : 2207;
606e : 5548;
606f : 2753;
6070 : -180;
6071 : -4842;
6072 : -8719;
6073 : -11433;
6074 : -8726;
6075 : -2513;
6076 : 397;
6077 : 1345;
6078 : 804;
6079 : 1871;
607a : 2129;
607b : 1675;
607c : 2037;
607d : -69;
607e : -221;
607f : 2893;
6080 : 2973;
6081 : -227;
6082 : -2654;
6083 : -3170;
6084 : -2285;
6085 : -1493;
6086 : 2019;
6087 : 3641;
6088 : 5288;
6089 : 2307;
608a : -1198;
608b : 247;
608c : 888;
608d : 847;
608e : 4956;
608f : 6779;
6090 : 5861;
6091 : 3169;
6092 : -694;
6093 : -3630;
6094 : -6987;
6095 : -5613;
6096 : -1872;
6097 : 141;
6098 : -1070;
6099 : -663;
609a : 1294;
609b : 333;
609c : -337;
609d : 308;
609e : -684;
609f : 266;
60a0 : 3571;
60a1 : 1523;
60a2 : -2672;
60a3 : -4582;
60a4 : -2677;
60a5 : -2973;
60a6 : -2851;
60a7 : -1082;
60a8 : -1020;
60a9 : -2186;
60aa : -5039;
60ab : -3258;
60ac : -26;
60ad : 843;
60ae : 3498;
60af : 5526;
60b0 : 3039;
60b1 : 1553;
60b2 : -605;
60b3 : -3635;
60b4 : -6257;
60b5 : -6282;
60b6 : -2377;
60b7 : -99;
60b8 : 650;
60b9 : 284;
60ba : 1270;
60bb : 2543;
60bc : 2517;
60bd : 2119;
60be : 1665;
60bf : 2503;
60c0 : 5419;
60c1 : 5674;
60c2 : 1703;
60c3 : -2399;
60c4 : -3957;
60c5 : -1829;
60c6 : -493;
60c7 : 2585;
60c8 : 4958;
60c9 : 5702;
60ca : 2100;
60cb : -1810;
60cc : -406;
60cd : 2228;
60ce : 1824;
60cf : 4437;
60d0 : 5409;
60d1 : 2974;
60d2 : 660;
60d3 : -1963;
60d4 : -3086;
60d5 : -6135;
60d6 : -5224;
60d7 : -1581;
60d8 : -1854;
60d9 : -2564;
60da : -1014;
60db : 1249;
60dc : 1179;
60dd : 282;
60de : -693;
60df : -2617;
60e0 : -981;
60e1 : 3898;
60e2 : 2877;
60e3 : -973;
60e4 : -3717;
60e5 : -3195;
60e6 : -2750;
60e7 : -2360;
60e8 : 1717;
60e9 : 2531;
60ea : 545;
60eb : -3145;
60ec : -3162;
60ed : -465;
60ee : 423;
60ef : 2937;
60f0 : 5924;
60f1 : 4287;
60f2 : 2804;
60f3 : 420;
60f4 : -2055;
60f5 : -2661;
60f6 : -3966;
60f7 : -1563;
60f8 : -1130;
60f9 : -1991;
60fa : -2695;
60fb : -915;
60fc : 4396;
60fd : 6010;
60fe : 5158;
60ff : 2786;
6100 : 1787;
6101 : -263;
6102 : -2880;
6103 : -5781;
6104 : -6517;
6105 : -3904;
6106 : 1687;
6107 : 3919;
6108 : 4324;
6109 : 3719;
610a : 3498;
610b : 266;
610c : -3706;
610d : -1023;
610e : 1236;
610f : 795;
6110 : 4159;
6111 : 5316;
6112 : 1543;
6113 : -2939;
6114 : -7213;
6115 : -8394;
6116 : -9731;
6117 : -6171;
6118 : 459;
6119 : 2418;
611a : 2094;
611b : 644;
611c : 958;
611d : 810;
611e : 1277;
611f : 2265;
6120 : -2021;
6121 : -4177;
6122 : 1169;
6123 : 1507;
6124 : -253;
6125 : 3748;
6126 : 7766;
6127 : 9153;
6128 : 8381;
6129 : 5126;
612a : -3679;
612b : -8418;
612c : -10577;
612d : -7090;
612e : 153;
612f : 3598;
6130 : 6352;
6131 : 7126;
6132 : 5184;
6133 : 3297;
6134 : 2524;
6135 : 1167;
6136 : 2220;
6137 : 2309;
6138 : 3074;
6139 : 837;
613a : -1903;
613b : -6628;
613c : -8625;
613d : -5367;
613e : -3787;
613f : -366;
6140 : 3230;
6141 : 4039;
6142 : 5731;
6143 : 5044;
6144 : 477;
6145 : -2642;
6146 : -3814;
6147 : -682;
6148 : -1879;
6149 : -4717;
614a : -4956;
614b : -5874;
614c : -9386;
614d : -7379;
614e : 2590;
614f : 8419;
6150 : 11439;
6151 : 14109;
6152 : 7414;
6153 : -3080;
6154 : -9360;
6155 : -10786;
6156 : -9090;
6157 : -7457;
6158 : -2281;
6159 : 1175;
615a : -1207;
615b : -1883;
615c : -2152;
615d : 951;
615e : 3110;
615f : 7519;
6160 : 8687;
6161 : 6115;
6162 : 6619;
6163 : 6078;
6164 : -562;
6165 : -6441;
6166 : -9116;
6167 : -7633;
6168 : -1469;
6169 : 3476;
616a : 8046;
616b : 6039;
616c : 3057;
616d : -739;
616e : -2380;
616f : 1206;
6170 : 3508;
6171 : 1139;
6172 : 75;
6173 : 857;
6174 : -2337;
6175 : -3310;
6176 : 497;
6177 : 3172;
6178 : 2561;
6179 : 6137;
617a : 3518;
617b : -3840;
617c : -10675;
617d : -10577;
617e : -7029;
617f : -4646;
6180 : -880;
6181 : 596;
6182 : -1105;
6183 : 254;
6184 : 2695;
6185 : 363;
6186 : -1658;
6187 : -552;
6188 : 3766;
6189 : 3410;
618a : 3803;
618b : 3994;
618c : -108;
618d : -5717;
618e : -9186;
618f : -6116;
6190 : -1544;
6191 : 2178;
6192 : 8101;
6193 : 8739;
6194 : 2372;
6195 : 958;
6196 : -1172;
6197 : -1471;
6198 : 1050;
6199 : 754;
619a : -1886;
619b : -3251;
619c : -4345;
619d : -6526;
619e : -343;
619f : 6268;
61a0 : 8434;
61a1 : 9987;
61a2 : 8510;
61a3 : 4498;
61a4 : 1111;
61a5 : -4375;
61a6 : -6787;
61a7 : -7223;
61a8 : -5921;
61a9 : 83;
61aa : 2612;
61ab : 3433;
61ac : 3308;
61ad : 1679;
61ae : -3020;
61af : -4428;
61b0 : 1096;
61b1 : 3053;
61b2 : 3948;
61b3 : 7907;
61b4 : 5081;
61b5 : 145;
61b6 : -4173;
61b7 : -7131;
61b8 : -6588;
61b9 : -6288;
61ba : -1327;
61bb : 764;
61bc : -2856;
61bd : -4699;
61be : -3262;
61bf : -1767;
61c0 : 447;
61c1 : 2149;
61c2 : -784;
61c3 : -2280;
61c4 : 2002;
61c5 : 3062;
61c6 : 3293;
61c7 : 5352;
61c8 : 3652;
61c9 : 4772;
61ca : 5104;
61cb : 3676;
61cc : 408;
61cd : -4150;
61ce : -7479;
61cf : -7275;
61d0 : -6857;
61d1 : -1972;
61d2 : 3249;
61d3 : 4802;
61d4 : 5971;
61d5 : 3139;
61d6 : 676;
61d7 : -815;
61d8 : -1477;
61d9 : -2532;
61da : -4981;
61db : -3337;
61dc : -1063;
61dd : -5055;
61de : -6698;
61df : -6304;
61e0 : -3406;
61e1 : -52;
61e2 : -1063;
61e3 : 1335;
61e4 : 2052;
61e5 : 1978;
61e6 : 5646;
61e7 : 1816;
61e8 : -86;
61e9 : 3416;
61ea : 5745;
61eb : 2329;
61ec : 3438;
61ed : 4930;
61ee : 2454;
61ef : 1466;
61f0 : 2030;
61f1 : 5822;
61f2 : 5054;
61f3 : 7345;
61f4 : 6921;
61f5 : 2555;
61f6 : -4204;
61f7 : -7125;
61f8 : -7275;
61f9 : -6742;
61fa : -4170;
61fb : -235;
61fc : 2856;
61fd : 1631;
61fe : -62;
61ff : -2619;
6200 : -2051;
6201 : -953;
6202 : -1429;
6203 : -2611;
6204 : -2069;
6205 : 1537;
6206 : 1680;
6207 : -2740;
6208 : -6766;
6209 : -8835;
620a : -7510;
620b : -2640;
620c : 3019;
620d : 3957;
620e : 1704;
620f : -2328;
6210 : -7829;
6211 : -2349;
6212 : 1248;
6213 : 1896;
6214 : 4695;
6215 : 8106;
6216 : 5148;
6217 : 5606;
6218 : 5684;
6219 : 6101;
621a : 6127;
621b : 6333;
621c : 4812;
621d : -760;
621e : -6032;
621f : -6699;
6220 : -4682;
6221 : -340;
6222 : 2968;
6223 : 2474;
6224 : 2390;
6225 : -490;
6226 : 1287;
6227 : -373;
6228 : -1856;
6229 : -1829;
622a : -4722;
622b : -5040;
622c : -3479;
622d : -978;
622e : 920;
622f : -22;
6230 : -4726;
6231 : -5439;
6232 : -2302;
6233 : -1563;
6234 : 1213;
6235 : 1720;
6236 : -534;
6237 : -1005;
6238 : -2240;
6239 : -2351;
623a : -684;
623b : -2751;
623c : -987;
623d : -79;
623e : -2239;
623f : -2440;
6240 : 2026;
6241 : 5257;
6242 : 6526;
6243 : 7812;
6244 : 6562;
6245 : 3092;
6246 : 3424;
6247 : 1651;
6248 : 28;
6249 : -2325;
624a : -1623;
624b : 688;
624c : 1221;
624d : 414;
624e : 2080;
624f : 2007;
6250 : -1717;
6251 : -2745;
6252 : -2345;
6253 : -1740;
6254 : -1625;
6255 : 1950;
6256 : 4888;
6257 : 3056;
6258 : 1329;
6259 : 101;
625a : -1615;
625b : -6204;
625c : -4058;
625d : -2092;
625e : -4433;
625f : -4389;
6260 : -7087;
6261 : -6026;
6262 : -1215;
6263 : 275;
6264 : -1170;
6265 : -643;
6266 : -3350;
6267 : 393;
6268 : 4344;
6269 : 5318;
626a : 5648;
626b : 4848;
626c : 4169;
626d : 2568;
626e : 270;
626f : -2271;
6270 : -5793;
6271 : -8866;
6272 : -8555;
6273 : -1142;
6274 : 4816;
6275 : 5262;
6276 : 6649;
6277 : 5679;
6278 : 1792;
6279 : 2308;
627a : 3267;
627b : 2770;
627c : 991;
627d : 740;
627e : -1630;
627f : -1330;
6280 : -652;
6281 : 1015;
6282 : 1472;
6283 : 1818;
6284 : 2390;
6285 : 2749;
6286 : -14;
6287 : 705;
6288 : 2256;
6289 : -1520;
628a : -3283;
628b : -5009;
628c : -8451;
628d : -5576;
628e : -3743;
628f : -900;
6290 : 2114;
6291 : 2477;
6292 : 239;
6293 : 1892;
6294 : 4776;
6295 : 4750;
6296 : 4911;
6297 : 3427;
6298 : -1250;
6299 : -5330;
629a : -7066;
629b : -5582;
629c : -2584;
629d : -1789;
629e : -1352;
629f : -2442;
62a0 : -3525;
62a1 : -1966;
62a2 : 3306;
62a3 : 4831;
62a4 : 3914;
62a5 : 2580;
62a6 : -447;
62a7 : -2614;
62a8 : 70;
62a9 : 600;
62aa : 1146;
62ab : -1203;
62ac : -1007;
62ad : 1617;
62ae : 1309;
62af : 2453;
62b0 : 5048;
62b1 : 3299;
62b2 : 1028;
62b3 : 1578;
62b4 : -306;
62b5 : -3460;
62b6 : -3628;
62b7 : -956;
62b8 : -1732;
62b9 : 399;
62ba : 3597;
62bb : 3529;
62bc : 5415;
62bd : 4645;
62be : 4890;
62bf : 2324;
62c0 : -2886;
62c1 : -7558;
62c2 : -10395;
62c3 : -8745;
62c4 : -4553;
62c5 : -1965;
62c6 : -2735;
62c7 : -3421;
62c8 : -1463;
62c9 : -1426;
62ca : 1864;
62cb : 3065;
62cc : 1463;
62cd : 1944;
62ce : 1769;
62cf : 165;
62d0 : 936;
62d1 : 1788;
62d2 : -1008;
62d3 : -5577;
62d4 : -5514;
62d5 : 208;
62d6 : 3647;
62d7 : 5703;
62d8 : 8848;
62d9 : 6404;
62da : 1274;
62db : 406;
62dc : 175;
62dd : -3826;
62de : -3681;
62df : -1537;
62e0 : -4062;
62e1 : -4228;
62e2 : -1005;
62e3 : 1540;
62e4 : 6209;
62e5 : 6619;
62e6 : 7337;
62e7 : 4553;
62e8 : 353;
62e9 : 186;
62ea : -562;
62eb : -2640;
62ec : -3701;
62ed : -2044;
62ee : -3404;
62ef : -3744;
62f0 : -2354;
62f1 : -833;
62f2 : 1562;
62f3 : 1514;
62f4 : 2272;
62f5 : 2964;
62f6 : 745;
62f7 : -1164;
62f8 : 635;
62f9 : 759;
62fa : 145;
62fb : 1373;
62fc : -1744;
62fd : -2320;
62fe : -1477;
62ff : -1694;
6300 : -1362;
6301 : -1946;
6302 : -4822;
6303 : -4072;
6304 : 1062;
6305 : -284;
6306 : -3715;
6307 : -2979;
6308 : -4941;
6309 : -5183;
630a : 930;
630b : 3656;
630c : 5124;
630d : 5189;
630e : 6489;
630f : 7168;
6310 : 3247;
6311 : 1156;
6312 : -657;
6313 : -5292;
6314 : -6917;
6315 : -3561;
6316 : 1025;
6317 : 977;
6318 : 1983;
6319 : 3939;
631a : 3032;
631b : 3312;
631c : 3995;
631d : 3058;
631e : 659;
631f : -53;
6320 : -221;
6321 : -1532;
6322 : -3337;
6323 : -2256;
6324 : -1364;
6325 : -1612;
6326 : 373;
6327 : 1443;
6328 : 1683;
6329 : 1940;
632a : 3024;
632b : 965;
632c : 907;
632d : -1188;
632e : -5268;
632f : -5062;
6330 : -5474;
6331 : -4602;
6332 : -918;
6333 : 2534;
6334 : 2433;
6335 : 1009;
6336 : 2834;
6337 : 3080;
6338 : 1882;
6339 : 1311;
633a : 532;
633b : -1434;
633c : -3452;
633d : -3320;
633e : -1704;
633f : -1676;
6340 : -1927;
6341 : -1423;
6342 : -2818;
6343 : -2006;
6344 : 1523;
6345 : 2867;
6346 : 2265;
6347 : 48;
6348 : -1279;
6349 : -3294;
634a : -2301;
634b : 2064;
634c : 3596;
634d : 2312;
634e : 2316;
634f : 2924;
6350 : 3256;
6351 : 2491;
6352 : 3246;
6353 : 547;
6354 : -1578;
6355 : -1700;
6356 : -3168;
6357 : -2346;
6358 : -2413;
6359 : -1708;
635a : 398;
635b : 1956;
635c : 3982;
635d : 4650;
635e : 4751;
635f : 4590;
6360 : 4375;
6361 : 1620;
6362 : -1955;
6363 : -5949;
6364 : -7628;
6365 : -7248;
6366 : -3259;
6367 : -968;
6368 : 1001;
6369 : 726;
636a : -950;
636b : 37;
636c : 1885;
636d : 1962;
636e : -86;
636f : -2266;
6370 : -2235;
6371 : -1720;
6372 : -1927;
6373 : 1109;
6374 : 2433;
6375 : -1527;
6376 : -3650;
6377 : -570;
6378 : 1626;
6379 : 2436;
637a : 6840;
637b : 4557;
637c : 261;
637d : -84;
637e : -2101;
637f : -5042;
6380 : -3871;
6381 : -3872;
6382 : -3879;
6383 : -2785;
6384 : 544;
6385 : 3487;
6386 : 4791;
6387 : 5762;
6388 : 5905;
6389 : 4156;
638a : 512;
638b : -680;
638c : -163;
638d : -1075;
638e : -1044;
638f : 8;
6390 : -90;
6391 : -326;
6392 : -736;
6393 : -361;
6394 : 2290;
6395 : 2260;
6396 : 823;
6397 : -551;
6398 : -1269;
6399 : -1911;
639a : -2085;
639b : -405;
639c : 1204;
639d : 605;
639e : -270;
639f : 585;
63a0 : 1267;
63a1 : -259;
63a2 : 601;
63a3 : -362;
63a4 : -5173;
63a5 : -5350;
63a6 : -3258;
63a7 : -2511;
63a8 : -2363;
63a9 : -1928;
63aa : -4543;
63ab : -5882;
63ac : -2473;
63ad : 2761;
63ae : 4957;
63af : 5104;
63b0 : 5074;
63b1 : 4772;
63b2 : 2887;
63b3 : 545;
63b4 : -216;
63b5 : -1803;
63b6 : -4255;
63b7 : -3583;
63b8 : -723;
63b9 : 1621;
63ba : 2309;
63bb : 2824;
63bc : 2614;
63bd : 2076;
63be : 2415;
63bf : 1619;
63c0 : 262;
63c1 : -235;
63c2 : -206;
63c3 : -292;
63c4 : -664;
63c5 : -500;
63c6 : -1165;
63c7 : 509;
63c8 : 2656;
63c9 : 2094;
63ca : 2308;
63cb : 1909;
63cc : -769;
63cd : 48;
63ce : 2157;
63cf : -28;
63d0 : -2764;
63d1 : -3624;
63d2 : -4260;
63d3 : -5477;
63d4 : -3047;
63d5 : 1489;
63d6 : 2463;
63d7 : -77;
63d8 : -862;
63d9 : 739;
63da : -115;
63db : -1;
63dc : 1013;
63dd : 445;
63de : -1717;
63df : -1894;
63e0 : -1109;
63e1 : -564;
63e2 : -510;
63e3 : -926;
63e4 : -2226;
63e5 : -3082;
63e6 : -1388;
63e7 : 421;
63e8 : 1204;
63e9 : 833;
63ea : 686;
63eb : -735;
63ec : -2155;
63ed : -175;
63ee : 3010;
63ef : 2571;
63f0 : 3230;
63f1 : 2939;
63f2 : 2081;
63f3 : 3955;
63f4 : 3362;
63f5 : 1085;
63f6 : 1642;
63f7 : -819;
63f8 : -3583;
63f9 : -1967;
63fa : -1556;
63fb : -2142;
63fc : -1345;
63fd : 1397;
63fe : 2981;
63ff : 2101;
6400 : 2622;
6401 : 3411;
6402 : 3718;
6403 : 3141;
6404 : 2141;
6405 : -1685;
6406 : -5333;
6407 : -6049;
6408 : -3787;
6409 : -1487;
640a : 209;
640b : 1034;
640c : -1519;
640d : -3124;
640e : -900;
640f : 1066;
6410 : 457;
6411 : -1111;
6412 : -2106;
6413 : -2020;
6414 : -2217;
6415 : -630;
6416 : 2122;
6417 : -150;
6418 : -2823;
6419 : -2415;
641a : -1270;
641b : 873;
641c : 4789;
641d : 4419;
641e : 3512;
641f : 2969;
6420 : -144;
6421 : -2128;
6422 : -2685;
6423 : -3191;
6424 : -4011;
6425 : -3001;
6426 : -1292;
6427 : -44;
6428 : 1691;
6429 : 3517;
642a : 3900;
642b : 4744;
642c : 3665;
642d : 997;
642e : -99;
642f : 994;
6430 : 883;
6431 : 655;
6432 : 28;
6433 : 283;
6434 : -219;
6435 : -1800;
6436 : -130;
6437 : 2212;
6438 : 304;
6439 : -2000;
643a : -1099;
643b : -897;
643c : -1822;
643d : 186;
643e : 2797;
643f : 2357;
6440 : 512;
6441 : 1596;
6442 : 173;
6443 : -992;
6444 : 978;
6445 : 834;
6446 : -2508;
6447 : -2787;
6448 : -3551;
6449 : -3108;
644a : -2855;
644b : -2598;
644c : -3328;
644d : -6077;
644e : -4766;
644f : -557;
6450 : 2038;
6451 : 3184;
6452 : 3608;
6453 : 3602;
6454 : 4352;
6455 : 4088;
6456 : 3690;
6457 : 3033;
6458 : -499;
6459 : -4012;
645a : -4739;
645b : -3792;
645c : -4405;
645d : -3938;
645e : -1248;
645f : 3945;
6460 : 6832;
6461 : 7323;
6462 : 5486;
6463 : 2992;
6464 : 959;
6465 : 911;
6466 : 2146;
6467 : 1572;
6468 : -151;
6469 : 275;
646a : -500;
646b : -3879;
646c : -1722;
646d : -253;
646e : -3025;
646f : -1906;
6470 : 1811;
6471 : 3088;
6472 : 3201;
6473 : 1708;
6474 : -465;
6475 : -2303;
6476 : -4071;
6477 : -3275;
6478 : -4290;
6479 : -6731;
647a : -6603;
647b : -2057;
647c : 3025;
647d : 4780;
647e : 4052;
647f : 2477;
6480 : 201;
6481 : -527;
6482 : 821;
6483 : 2316;
6484 : 3985;
6485 : 1808;
6486 : -1723;
6487 : -5193;
6488 : -7320;
6489 : -7091;
648a : -5059;
648b : -2313;
648c : 862;
648d : 3093;
648e : 3628;
648f : 4539;
6490 : 5543;
6491 : 4463;
6492 : 2065;
6493 : -2922;
6494 : -5346;
6495 : -1694;
6496 : -132;
6497 : 1818;
6498 : 5923;
6499 : 4115;
649a : -899;
649b : -2663;
649c : -992;
649d : -930;
649e : -579;
649f : 3715;
64a0 : 5371;
64a1 : 3221;
64a2 : 2590;
64a3 : 164;
64a4 : -1054;
64a5 : -2010;
64a6 : -1585;
64a7 : -80;
64a8 : -762;
64a9 : -745;
64aa : 1402;
64ab : 3436;
64ac : 3017;
64ad : 947;
64ae : -3622;
64af : -8692;
64b0 : -9104;
64b1 : -4709;
64b2 : -1447;
64b3 : 589;
64b4 : 388;
64b5 : -854;
64b6 : -1190;
64b7 : -84;
64b8 : 3168;
64b9 : 3532;
64ba : 3592;
64bb : 655;
64bc : -1339;
64bd : 1926;
64be : 1576;
64bf : -1263;
64c0 : -1019;
64c1 : -1841;
64c2 : -1935;
64c3 : 62;
64c4 : 2579;
64c5 : 2822;
64c6 : 1274;
64c7 : 1849;
64c8 : 150;
64c9 : -3017;
64ca : -4461;
64cb : -3311;
64cc : -1639;
64cd : 1437;
64ce : 3005;
64cf : 2146;
64d0 : -552;
64d1 : -156;
64d2 : 1929;
64d3 : 3143;
64d4 : 3848;
64d5 : 5143;
64d6 : 5331;
64d7 : 2353;
64d8 : 1438;
64d9 : 1995;
64da : -898;
64db : -6174;
64dc : -8060;
64dd : -5253;
64de : -2830;
64df : 1113;
64e0 : 5446;
64e1 : 5809;
64e2 : 4363;
64e3 : 3009;
64e4 : -1891;
64e5 : -2926;
64e6 : -1882;
64e7 : -1848;
64e8 : -683;
64e9 : -135;
64ea : -2225;
64eb : -3507;
64ec : -3721;
64ed : -3922;
64ee : -4576;
64ef : -4596;
64f0 : -2932;
64f1 : 27;
64f2 : 3281;
64f3 : 4828;
64f4 : 3767;
64f5 : 1356;
64f6 : -392;
64f7 : -1546;
64f8 : -1182;
64f9 : 788;
64fa : 3062;
64fb : 2259;
64fc : 41;
64fd : 1866;
64fe : 2124;
64ff : 203;
6500 : -1400;
6501 : -1194;
6502 : -1534;
6503 : -899;
6504 : 973;
6505 : 1925;
6506 : 181;
6507 : -900;
6508 : 1754;
6509 : 1032;
650a : -986;
650b : 1424;
650c : 3139;
650d : 2403;
650e : 5008;
650f : 4984;
6510 : -438;
6511 : -2835;
6512 : -2296;
6513 : -709;
6514 : 612;
6515 : 1710;
6516 : 36;
6517 : -2136;
6518 : -2423;
6519 : -2248;
651a : -109;
651b : -611;
651c : -4238;
651d : -3949;
651e : -430;
651f : 1545;
6520 : 2702;
6521 : 1639;
6522 : -983;
6523 : -3021;
6524 : -3041;
6525 : -1868;
6526 : 982;
6527 : 2374;
6528 : 1975;
6529 : 812;
652a : -787;
652b : -4411;
652c : -4589;
652d : -2591;
652e : -2026;
652f : -331;
6530 : 2676;
6531 : 2115;
6532 : -254;
6533 : 3009;
6534 : 3545;
6535 : 218;
6536 : -19;
6537 : 500;
6538 : -407;
6539 : 150;
653a : 4606;
653b : 6232;
653c : 2814;
653d : -691;
653e : -985;
653f : -2393;
6540 : -3804;
6541 : -2159;
6542 : 1839;
6543 : 3054;
6544 : 2005;
6545 : 3341;
6546 : 3023;
6547 : 974;
6548 : -9;
6549 : 594;
654a : -610;
654b : -1979;
654c : -732;
654d : 149;
654e : 1563;
654f : 1895;
6550 : 572;
6551 : -3666;
6552 : -6666;
6553 : -5929;
6554 : -3108;
6555 : -173;
6556 : 1073;
6557 : -1556;
6558 : -1647;
6559 : -663;
655a : -981;
655b : 1080;
655c : 2885;
655d : -1317;
655e : -2329;
655f : 870;
6560 : 1822;
6561 : 828;
6562 : 2062;
6563 : 2465;
6564 : -255;
6565 : 288;
6566 : 1471;
6567 : 1281;
6568 : -1369;
6569 : -1454;
656a : -811;
656b : -1861;
656c : -3602;
656d : -2747;
656e : 130;
656f : 1710;
6570 : 4164;
6571 : 4897;
6572 : 627;
6573 : -2516;
6574 : -695;
6575 : 920;
6576 : 2342;
6577 : 3191;
6578 : 3400;
6579 : 2432;
657a : 177;
657b : 1749;
657c : 2058;
657d : -1140;
657e : -3146;
657f : -3959;
6580 : -3284;
6581 : -360;
6582 : 2405;
6583 : 3317;
6584 : 4053;
6585 : 1404;
6586 : -2015;
6587 : -1679;
6588 : -1186;
6589 : -1977;
658a : 8;
658b : 1898;
658c : 18;
658d : -2005;
658e : -2256;
658f : -3531;
6590 : -4167;
6591 : -3496;
6592 : -3559;
6593 : -3536;
6594 : -1022;
6595 : 390;
6596 : 1799;
6597 : 2874;
6598 : 1311;
6599 : 1685;
659a : 349;
659b : -480;
659c : 1566;
659d : 2290;
659e : -55;
659f : 377;
65a0 : 1300;
65a1 : 368;
65a2 : -1162;
65a3 : 105;
65a4 : 1066;
65a5 : -197;
65a6 : 1518;
65a7 : 2168;
65a8 : 61;
65a9 : -1453;
65aa : -43;
65ab : 316;
65ac : 879;
65ad : 1499;
65ae : 423;
65af : 820;
65b0 : 2286;
65b1 : 2388;
65b2 : 1786;
65b3 : 265;
65b4 : -632;
65b5 : -185;
65b6 : 1617;
65b7 : 2147;
65b8 : 152;
65b9 : -1976;
65ba : -2633;
65bb : -3703;
65bc : -1814;
65bd : -251;
65be : -1445;
65bf : -2462;
65c0 : -1403;
65c1 : 711;
65c2 : 2048;
65c3 : 766;
65c4 : 288;
65c5 : -1633;
65c6 : -2828;
65c7 : -1389;
65c8 : 868;
65c9 : 1747;
65ca : 779;
65cb : 1047;
65cc : 543;
65cd : -2560;
65ce : -3499;
65cf : -1079;
65d0 : -2305;
65d1 : -2597;
65d2 : 575;
65d3 : 433;
65d4 : -1072;
65d5 : 1764;
65d6 : 2494;
65d7 : 478;
65d8 : 842;
65d9 : 687;
65da : 208;
65db : 228;
65dc : 2002;
65dd : 4303;
65de : 5172;
65df : 2222;
65e0 : -427;
65e1 : -1440;
65e2 : -2240;
65e3 : -2594;
65e4 : 72;
65e5 : 2004;
65e6 : 1109;
65e7 : 1797;
65e8 : 2955;
65e9 : 2524;
65ea : 2040;
65eb : 849;
65ec : -24;
65ed : -1626;
65ee : -3147;
65ef : -1610;
65f0 : 1337;
65f1 : 2362;
65f2 : 2205;
65f3 : -95;
65f4 : -3197;
65f5 : -5706;
65f6 : -5038;
65f7 : -1684;
65f8 : -263;
65f9 : -2493;
65fa : -2176;
65fb : -1522;
65fc : -3318;
65fd : -682;
65fe : 3355;
65ff : 864;
6600 : -1074;
6601 : 1140;
6602 : 1117;
6603 : 196;
6604 : 981;
6605 : 2386;
6606 : 955;
6607 : 625;
6608 : 1200;
6609 : 1180;
660a : -27;
660b : -934;
660c : -370;
660d : 218;
660e : -2756;
660f : -3740;
6610 : -1403;
6611 : -807;
6612 : 1340;
6613 : 3626;
6614 : 2118;
6615 : -1182;
6616 : -1927;
6617 : -874;
6618 : 1289;
6619 : 3292;
661a : 3706;
661b : 3633;
661c : 3226;
661d : 2332;
661e : 2202;
661f : 2369;
6620 : -146;
6621 : -3671;
6622 : -3935;
6623 : -1768;
6624 : -1406;
6625 : 608;
6626 : 4760;
6627 : 3313;
6628 : 824;
6629 : 945;
662a : 147;
662b : -2195;
662c : -2312;
662d : -65;
662e : -1035;
662f : -2337;
6630 : -1307;
6631 : -825;
6632 : -2355;
6633 : -2862;
6634 : -3516;
6635 : -4417;
6636 : -4477;
6637 : -1943;
6638 : 1267;
6639 : 2878;
663a : 2634;
663b : 2581;
663c : 692;
663d : -1951;
663e : -2313;
663f : 26;
6640 : 265;
6641 : 1150;
6642 : 3761;
6643 : 3883;
6644 : 2224;
6645 : 937;
6646 : 582;
6647 : -1592;
6648 : -1170;
6649 : -828;
664a : -1847;
664b : -1330;
664c : -492;
664d : -436;
664e : 2313;
664f : 1990;
6650 : -779;
6651 : 91;
6652 : 2232;
6653 : 2094;
6654 : 2277;
6655 : 2451;
6656 : 152;
6657 : -1056;
6658 : 489;
6659 : 967;
665a : 357;
665b : -1272;
665c : -1854;
665d : -1087;
665e : -603;
665f : 878;
6660 : 1488;
6661 : -153;
6662 : -1780;
6663 : -557;
6664 : -291;
6665 : -1429;
6666 : -1521;
6667 : -1019;
6668 : -1934;
6669 : -1134;
666a : 830;
666b : 1123;
666c : -14;
666d : 663;
666e : 2020;
666f : 216;
6670 : -1056;
6671 : 245;
6672 : -1610;
6673 : -3709;
6674 : -2126;
6675 : -2468;
6676 : -2873;
6677 : -655;
6678 : 1978;
6679 : 2321;
667a : 4077;
667b : 2899;
667c : 466;
667d : -251;
667e : -633;
667f : -427;
6680 : 2960;
6681 : 3445;
6682 : 1645;
6683 : 1117;
6684 : -473;
6685 : -2492;
6686 : -3033;
6687 : -1370;
6688 : -262;
6689 : 1329;
668a : 2432;
668b : 3808;
668c : 3696;
668d : 1659;
668e : -194;
668f : -1695;
6690 : -4532;
6691 : -4607;
6692 : -881;
6693 : 2710;
6694 : 3186;
6695 : 3549;
6696 : 1811;
6697 : -2538;
6698 : -4733;
6699 : -2443;
669a : -1441;
669b : -2228;
669c : -1453;
669d : -1006;
669e : -2015;
669f : -1176;
66a0 : 1229;
66a1 : 443;
66a2 : -1560;
66a3 : -286;
66a4 : 848;
66a5 : 961;
66a6 : 1812;
66a7 : 2303;
66a8 : 2532;
66a9 : 1774;
66aa : 843;
66ab : 701;
66ac : -127;
66ad : -2107;
66ae : -1119;
66af : 780;
66b0 : -174;
66b1 : -2481;
66b2 : -2004;
66b3 : -915;
66b4 : 86;
66b5 : 2351;
66b6 : 2707;
66b7 : 406;
66b8 : -2056;
66b9 : -1642;
66ba : -261;
66bb : 2180;
66bc : 1980;
66bd : 1314;
66be : 1689;
66bf : 1540;
66c0 : -20;
66c1 : 2504;
66c2 : 3031;
66c3 : -1278;
66c4 : -2728;
66c5 : -1648;
66c6 : -2605;
66c7 : -1520;
66c8 : 2292;
66c9 : 3352;
66ca : 1638;
66cb : 1498;
66cc : 920;
66cd : -1881;
66ce : -2481;
66cf : -1783;
66d0 : -690;
66d1 : -673;
66d2 : -241;
66d3 : 1210;
66d4 : 1183;
66d5 : -854;
66d6 : -1846;
66d7 : -3182;
66d8 : -5206;
66d9 : -4727;
66da : -1538;
66db : 120;
66dc : 1581;
66dd : 2225;
66de : 1471;
66df : 461;
66e0 : -889;
66e1 : -374;
66e2 : 1302;
66e3 : 1318;
66e4 : 1999;
66e5 : 2789;
66e6 : 1763;
66e7 : 866;
66e8 : -654;
66e9 : -916;
66ea : 5;
66eb : -405;
66ec : -1312;
66ed : -583;
66ee : -912;
66ef : -1508;
66f0 : 1058;
66f1 : 2398;
66f2 : -286;
66f3 : -239;
66f4 : 1671;
66f5 : 932;
66f6 : 668;
66f7 : 1450;
66f8 : -502;
66f9 : -2325;
66fa : -762;
66fb : 797;
66fc : 2133;
66fd : 2176;
66fe : 576;
66ff : 25;
6700 : 145;
6701 : -1267;
6702 : 395;
6703 : -451;
6704 : -2206;
6705 : -1490;
6706 : -686;
6707 : -722;
6708 : -658;
6709 : -186;
670a : -68;
670b : 105;
670c : 1209;
670d : 1487;
670e : 335;
670f : 137;
6710 : 1206;
6711 : 793;
6712 : -129;
6713 : -47;
6714 : -1582;
6715 : -2883;
6716 : -2287;
6717 : -1949;
6718 : -1197;
6719 : 266;
671a : 912;
671b : 1561;
671c : 2316;
671d : 2126;
671e : -520;
671f : -1486;
6720 : -1263;
6721 : -1890;
6722 : 611;
6723 : 3408;
6724 : 2546;
6725 : 1841;
6726 : 239;
6727 : -1913;
6728 : -2382;
6729 : -1881;
672a : -356;
672b : 1503;
672c : 2193;
672d : 2416;
672e : 2384;
672f : 681;
6730 : -658;
6731 : -1314;
6732 : -2400;
6733 : -2929;
6734 : -52;
6735 : 2728;
6736 : 3249;
6737 : 2824;
6738 : 2234;
6739 : -1486;
673a : -4737;
673b : -2670;
673c : -2161;
673d : -2122;
673e : -643;
673f : -903;
6740 : -1751;
6741 : -620;
6742 : 253;
6743 : 589;
6744 : 698;
6745 : 802;
6746 : 912;
6747 : 1442;
6748 : 1704;
6749 : 643;
674a : 292;
674b : 975;
674c : 787;
674d : 1060;
674e : 1536;
674f : 552;
6750 : -247;
6751 : 295;
6752 : 308;
6753 : -916;
6754 : -2389;
6755 : -2183;
6756 : -784;
6757 : -261;
6758 : 1600;
6759 : 1483;
675a : -1460;
675b : -3081;
675c : -2473;
675d : -1122;
675e : 388;
675f : 485;
6760 : 1119;
6761 : 2785;
6762 : 1896;
6763 : 2306;
6764 : 3845;
6765 : 140;
6766 : -2728;
6767 : -2567;
6768 : -3381;
6769 : -2764;
676a : 1179;
676b : 3217;
676c : 2835;
676d : 2455;
676e : 964;
676f : -1155;
6770 : -2064;
6771 : -1946;
6772 : -1400;
6773 : 741;
6774 : 1049;
6775 : 1432;
6776 : 2389;
6777 : -28;
6778 : -2299;
6779 : -2681;
677a : -3994;
677b : -4256;
677c : -1925;
677d : 517;
677e : 2479;
677f : 2456;
6780 : 1173;
6781 : 480;
6782 : -422;
6783 : -1823;
6784 : -198;
6785 : 2228;
6786 : 2562;
6787 : 2739;
6788 : 2637;
6789 : 1610;
678a : -286;
678b : -1767;
678c : 240;
678d : 154;
678e : -909;
678f : 452;
6790 : 397;
6791 : -1314;
6792 : -719;
6793 : 1037;
6794 : -645;
6795 : -1955;
6796 : -176;
6797 : 97;
6798 : 594;
6799 : 2460;
679a : 1441;
679b : -828;
679c : -1112;
679d : -773;
679e : 524;
679f : 1199;
67a0 : 307;
67a1 : -146;
67a2 : 71;
67a3 : -394;
67a4 : -882;
67a5 : -322;
67a6 : -1461;
67a7 : -1927;
67a8 : -1043;
67a9 : 417;
67aa : 1181;
67ab : 869;
67ac : 719;
67ad : 604;
67ae : -47;
67af : -519;
67b0 : -1247;
67b1 : -1463;
67b2 : -818;
67b3 : -27;
67b4 : 1659;
67b5 : 1766;
67b6 : -22;
67b7 : -743;
67b8 : -1577;
67b9 : -2403;
67ba : -1668;
67bb : 210;
67bc : 430;
67bd : 151;
67be : 1695;
67bf : 1522;
67c0 : -190;
67c1 : -1259;
67c2 : -1659;
67c3 : -1554;
67c4 : -234;
67c5 : 2841;
67c6 : 4640;
67c7 : 4272;
67c8 : 2431;
67c9 : 19;
67ca : -2308;
67cb : -3706;
67cc : -3249;
67cd : -1996;
67ce : -348;
67cf : 1492;
67d0 : 1965;
67d1 : 1940;
67d2 : 1743;
67d3 : 713;
67d4 : -182;
67d5 : -1532;
67d6 : -1812;
67d7 : 929;
67d8 : 1793;
67d9 : 1570;
67da : 1964;
67db : 33;
67dc : -3824;
67dd : -3541;
67de : -2277;
67df : -2449;
67e0 : 856;
67e1 : 5024;
67e2 : 4522;
67e3 : 3319;
67e4 : 2348;
67e5 : -2252;
67e6 : -7945;
67e7 : -9402;
67e8 : -9570;
67e9 : -3791;
67ea : 3843;
67eb : 6546;
67ec : 6649;
67ed : 5177;
67ee : 3142;
67ef : 3340;
67f0 : 3682;
67f1 : 2830;
67f2 : 1657;
67f3 : 1527;
67f4 : 1058;
67f5 : -701;
67f6 : -3897;
67f7 : -7531;
67f8 : -8769;
67f9 : -6180;
67fa : -997;
67fb : 2972;
67fc : 5813;
67fd : 5692;
67fe : 3853;
67ff : 891;
6800 : -2097;
6801 : -3893;
6802 : -2788;
6803 : -2139;
6804 : -1500;
6805 : -1902;
6806 : 320;
6807 : 1076;
6808 : 405;
6809 : 1179;
680a : 419;
680b : 743;
680c : 3201;
680d : 4067;
680e : 4001;
680f : 3910;
6810 : -566;
6811 : -7192;
6812 : -9129;
6813 : -7928;
6814 : -6672;
6815 : 1539;
6816 : 10057;
6817 : 14485;
6818 : 15566;
6819 : 8231;
681a : 576;
681b : -4924;
681c : -10802;
681d : -13176;
681e : -14159;
681f : -10286;
6820 : -3650;
6821 : 2144;
6822 : 4368;
6823 : 2738;
6824 : 3659;
6825 : 3107;
6826 : 4234;
6827 : 7838;
6828 : 8377;
6829 : 6612;
682a : 3586;
682b : 1327;
682c : -3126;
682d : -9399;
682e : -9607;
682f : -4133;
6830 : 85;
6831 : 1195;
6832 : 4007;
6833 : 4419;
6834 : 3643;
6835 : 4774;
6836 : 1301;
6837 : -1462;
6838 : -2310;
6839 : -3028;
683a : -2891;
683b : -4574;
683c : -4368;
683d : -3543;
683e : -2088;
683f : -110;
6840 : 589;
6841 : 5517;
6842 : 5862;
6843 : 3834;
6844 : 2433;
6845 : 956;
6846 : -3040;
6847 : -7536;
6848 : -8763;
6849 : -8501;
684a : -8517;
684b : -2650;
684c : 4462;
684d : 10258;
684e : 12152;
684f : 7102;
6850 : 3825;
6851 : -970;
6852 : -6355;
6853 : -8566;
6854 : -10298;
6855 : -10250;
6856 : -5228;
6857 : 2540;
6858 : 3907;
6859 : 3432;
685a : 5992;
685b : 2980;
685c : 3775;
685d : 7840;
685e : 7056;
685f : 5804;
6860 : 4147;
6861 : 2280;
6862 : 857;
6863 : -2520;
6864 : -6074;
6865 : -5384;
6866 : -2008;
6867 : -1722;
6868 : 3035;
6869 : 7523;
686a : 7775;
686b : 8737;
686c : 4032;
686d : 504;
686e : -1626;
686f : -2806;
6870 : -1637;
6871 : -1986;
6872 : -584;
6873 : 681;
6874 : 1674;
6875 : 656;
6876 : -3068;
6877 : -2504;
6878 : -4633;
6879 : -5481;
687a : -2541;
687b : -2670;
687c : -2661;
687d : -7576;
687e : -12507;
687f : -12198;
6880 : -14628;
6881 : -12648;
6882 : -2189;
6883 : 3814;
6884 : 12782;
6885 : 1902;
6886 : 4756;
6887 : 2842;
6888 : -3330;
6889 : -3471;
688a : -6537;
688b : -4019;
688c : 1518;
688d : 7813;
688e : 9514;
688f : 8492;
6890 : 8998;
6891 : 6870;
6892 : 7691;
6893 : 9493;
6894 : 8306;
6895 : 8769;
6896 : 7410;
6897 : 5892;
6898 : 4298;
6899 : 663;
689a : -2465;
689b : -523;
689c : 5079;
689d : 7209;
689e : 9268;
689f : 8825;
68a0 : 7608;
68a1 : 8423;
68a2 : 4801;
68a3 : -641;
68a4 : -6830;
68a5 : -5806;
68a6 : -4281;
68a7 : -3806;
68a8 : -2014;
68a9 : -4326;
68aa : -577;
68ab : 398;
68ac : -3642;
68ad : -4775;
68ae : -7875;
68af : -7875;
68b0 : -6268;
68b1 : -3387;
68b2 : -1201;
68b3 : -6838;
68b4 : -8928;
68b5 : -9567;
68b6 : -10491;
68b7 : -7707;
68b8 : -3087;
68b9 : 2006;
68ba : 7479;
68bb : 6564;
68bc : 1601;
68bd : -3159;
68be : -7259;
68bf : -11187;
68c0 : -14673;
68c1 : -12755;
68c2 : -8794;
68c3 : -922;
68c4 : 2847;
68c5 : 1600;
68c6 : -401;
68c7 : -4870;
68c8 : -6879;
68c9 : -4944;
68ca : -3150;
68cb : -29;
68cc : 111;
68cd : 2294;
68ce : 198;
68cf : -2818;
68d0 : -4948;
68d1 : -4888;
68d2 : -953;
68d3 : 122;
68d4 : 1857;
68d5 : 1033;
68d6 : 421;
68d7 : 1544;
68d8 : 64;
68d9 : -2507;
68da : -4760;
68db : -4789;
68dc : -148;
68dd : 2198;
68de : 4712;
68df : 5596;
68e0 : 5217;
68e1 : 2847;
68e2 : 2478;
68e3 : 4578;
68e4 : 6015;
68e5 : 5456;
68e6 : 4211;
68e7 : 4403;
68e8 : 4573;
68e9 : 34;
68ea : 1058;
68eb : 3526;
68ec : 3219;
68ed : 5563;
68ee : 9239;
68ef : 13448;
68f0 : 15795;
68f1 : 12966;
68f2 : 7829;
68f3 : 5279;
68f4 : 1646;
68f5 : 414;
68f6 : 333;
68f7 : -347;
68f8 : 401;
68f9 : 8324;
68fa : 9927;
68fb : 8493;
68fc : 10514;
68fd : 6300;
68fe : 4994;
68ff : 8185;
6900 : 7100;
6901 : 6027;
6902 : 5596;
6903 : 4797;
6904 : 6794;
6905 : 8838;
6906 : 6258;
6907 : 5000;
6908 : 7175;
6909 : 6282;
690a : 6927;
690b : 7803;
690c : 8403;
690d : 6841;
690e : 4739;
690f : 721;
6910 : -3500;
6911 : -6708;
6912 : -4612;
6913 : -4416;
6914 : -2078;
6915 : -2770;
6916 : -559;
6917 : -1842;
6918 : -6360;
6919 : -8145;
691a : -10379;
691b : -12957;
691c : -12359;
691d : -10249;
691e : -6546;
691f : -7983;
6920 : -10668;
6921 : -10358;
6922 : -11762;
6923 : -11191;
6924 : -8795;
6925 : -3070;
6926 : -43;
6927 : 310;
6928 : -3817;
6929 : -7175;
692a : -10322;
692b : -12139;
692c : -12422;
692d : -10685;
692e : -7438;
692f : -1959;
6930 : 200;
6931 : -610;
6932 : -2210;
6933 : -5666;
6934 : -4566;
6935 : -2856;
6936 : -2736;
6937 : -1551;
6938 : -2237;
6939 : -4224;
693a : -3753;
693b : -2967;
693c : -5671;
693d : -5587;
693e : -2242;
693f : 91;
6940 : 2932;
6941 : 1651;
6942 : 203;
6943 : -897;
6944 : -2108;
6945 : -3389;
6946 : -4511;
6947 : -4563;
6948 : -4609;
6949 : -3987;
694a : -483;
694b : -1362;
694c : 3108;
694d : 4568;
694e : 1865;
694f : 1690;
6950 : 2356;
6951 : 1134;
6952 : 1470;
6953 : 4112;
6954 : 6999;
6955 : 5646;
6956 : 3699;
6957 : 3001;
6958 : 1353;
6959 : 1865;
695a : 2358;
695b : 6803;
695c : 11034;
695d : 12798;
695e : 9105;
695f : 5181;
6960 : 627;
6961 : -1645;
6962 : -4213;
6963 : -4822;
6964 : -3184;
6965 : 2348;
6966 : 5701;
6967 : 7624;
6968 : 6367;
6969 : 3311;
696a : 2742;
696b : 1908;
696c : 2372;
696d : 2854;
696e : 1881;
696f : 2376;
6970 : 2724;
6971 : 1473;
6972 : -400;
6973 : -1787;
6974 : 303;
6975 : 2798;
6976 : 4325;
6977 : 2684;
6978 : 2472;
6979 : 1124;
697a : -883;
697b : -2486;
697c : -5850;
697d : -8948;
697e : -7644;
697f : -5623;
6980 : -3309;
6981 : -4072;
6982 : -2534;
6983 : -3677;
6984 : -5554;
6985 : -4685;
6986 : -4111;
6987 : -4257;
6988 : -4822;
6989 : -5583;
698a : -3464;
698b : -4725;
698c : -5412;
698d : -4618;
698e : -5746;
698f : -3940;
6990 : -2594;
6991 : 1605;
6992 : 5423;
6993 : 5544;
6994 : 2986;
6995 : 883;
6996 : -2579;
6997 : -3857;
6998 : -3981;
6999 : -5517;
699a : -4687;
699b : 1403;
699c : 3803;
699d : 6493;
699e : 7543;
699f : 4295;
69a0 : 3703;
69a1 : 4377;
69a2 : 5747;
69a3 : 6949;
69a4 : 5569;
69a5 : 2735;
69a6 : 2300;
69a7 : 3347;
69a8 : 2116;
69a9 : 727;
69aa : 1967;
69ab : 1427;
69ac : 2027;
69ad : 1990;
69ae : 4501;
69af : 4800;
69b0 : 3497;
69b1 : 2910;
69b2 : -533;
69b3 : -3369;
69b4 : -2973;
69b5 : -1571;
69b6 : -269;
69b7 : -914;
69b8 : 812;
69b9 : 1733;
69ba : 279;
69bb : -524;
69bc : -1560;
69bd : -1742;
69be : -2392;
69bf : -2368;
69c0 : 1955;
69c1 : 132;
69c2 : -2818;
69c3 : -3297;
69c4 : -5012;
69c5 : -4549;
69c6 : -2685;
69c7 : 666;
69c8 : 4446;
69c9 : 6207;
69ca : 2863;
69cb : -302;
69cc : -2338;
69cd : -4597;
69ce : -4689;
69cf : -4791;
69d0 : -4807;
69d1 : -795;
69d2 : 1612;
69d3 : 3796;
69d4 : 3743;
69d5 : 1529;
69d6 : 2880;
69d7 : 4390;
69d8 : 4243;
69d9 : 5290;
69da : 4041;
69db : 1444;
69dc : 2119;
69dd : 3812;
69de : 3284;
69df : 2828;
69e0 : 5373;
69e1 : 5787;
69e2 : 6334;
69e3 : 5849;
69e4 : 4129;
69e5 : 3860;
69e6 : 3873;
69e7 : 3140;
69e8 : 1729;
69e9 : 1392;
69ea : -517;
69eb : 606;
69ec : 3148;
69ed : 1848;
69ee : 4075;
69ef : 6648;
69f0 : 4314;
69f1 : 2754;
69f2 : 2575;
69f3 : 521;
69f4 : -651;
69f5 : -392;
69f6 : 1134;
69f7 : 1910;
69f8 : 993;
69f9 : -513;
69fa : -2632;
69fb : -3323;
69fc : -4967;
69fd : -4505;
69fe : -219;
69ff : 1253;
6a00 : 121;
6a01 : -3436;
6a02 : -7202;
6a03 : -9449;
6a04 : -10118;
6a05 : -10866;
6a06 : -10793;
6a07 : -7979;
6a08 : -5715;
6a09 : -2075;
6a0a : -1766;
6a0b : -5215;
6a0c : -6243;
6a0d : -7096;
6a0e : -7290;
6a0f : -4562;
6a10 : -4135;
6a11 : -4884;
6a12 : -3905;
6a13 : -3870;
6a14 : -3783;
6a15 : -3746;
6a16 : -2623;
6a17 : 1151;
6a18 : 2908;
6a19 : 951;
6a1a : -147;
6a1b : -31;
6a1c : -1036;
6a1d : 1049;
6a1e : 365;
6a1f : -2145;
6a20 : -2425;
6a21 : 476;
6a22 : 2481;
6a23 : 1860;
6a24 : 3554;
6a25 : 3008;
6a26 : 1105;
6a27 : 1836;
6a28 : 2240;
6a29 : 2743;
6a2a : 3639;
6a2b : 3464;
6a2c : 4936;
6a2d : 6686;
6a2e : 6021;
6a2f : 5108;
6a30 : 5273;
6a31 : 5096;
6a32 : 5759;
6a33 : 7304;
6a34 : 11390;
6a35 : 12231;
6a36 : 10106;
6a37 : 6800;
6a38 : 2809;
6a39 : 1836;
6a3a : 2111;
6a3b : -112;
6a3c : -1518;
6a3d : -78;
6a3e : 670;
6a3f : 3557;
6a40 : 3872;
6a41 : 959;
6a42 : -40;
6a43 : -602;
6a44 : -787;
6a45 : 1525;
6a46 : 1354;
6a47 : -2002;
6a48 : -3421;
6a49 : -2128;
6a4a : -2209;
6a4b : -2679;
6a4c : -3637;
6a4d : -2176;
6a4e : -3207;
6a4f : -5206;
6a50 : -3984;
6a51 : -4543;
6a52 : -5594;
6a53 : -4373;
6a54 : -5510;
6a55 : -7026;
6a56 : -7553;
6a57 : -6002;
6a58 : -2999;
6a59 : -3082;
6a5a : -2854;
6a5b : -2661;
6a5c : -3656;
6a5d : -6146;
6a5e : -7392;
6a5f : -7365;
6a60 : -8427;
6a61 : -8496;
6a62 : -4039;
6a63 : -3418;
6a64 : -3556;
6a65 : -3134;
6a66 : -3285;
6a67 : -3094;
6a68 : -898;
6a69 : -125;
6a6a : 2919;
6a6b : 6250;
6a6c : 5026;
6a6d : 3690;
6a6e : 1440;
6a6f : 372;
6a70 : 999;
6a71 : 1960;
6a72 : 2922;
6a73 : 5222;
6a74 : 6559;
6a75 : 9694;
6a76 : 9265;
6a77 : 5092;
6a78 : 3878;
6a79 : 4585;
6a7a : 4024;
6a7b : 6700;
6a7c : 7680;
6a7d : 5667;
6a7e : 5104;
6a7f : 6501;
6a80 : 5662;
6a81 : 4491;
6a82 : 4132;
6a83 : 5364;
6a84 : 5814;
6a85 : 5265;
6a86 : 4195;
6a87 : 2369;
6a88 : 923;
6a89 : -46;
6a8a : -56;
6a8b : 620;
6a8c : -1865;
6a8d : -2259;
6a8e : 526;
6a8f : -2084;
6a90 : -1839;
6a91 : -953;
6a92 : -1938;
6a93 : -4020;
6a94 : -4820;
6a95 : -4955;
6a96 : -4036;
6a97 : -3888;
6a98 : -2925;
6a99 : -2846;
6a9a : -1459;
6a9b : -3479;
6a9c : -5331;
6a9d : -5488;
6a9e : -6226;
6a9f : -7421;
6aa0 : -4961;
6aa1 : -1935;
6aa2 : -2496;
6aa3 : -3122;
6aa4 : -6690;
6aa5 : -8207;
6aa6 : -7002;
6aa7 : -5062;
6aa8 : -5048;
6aa9 : -3092;
6aaa : -4205;
6aab : -2012;
6aac : -335;
6aad : -1545;
6aae : -1875;
6aaf : -1748;
6ab0 : -1164;
6ab1 : 891;
6ab2 : 2421;
6ab3 : 2724;
6ab4 : 1820;
6ab5 : 2000;
6ab6 : 3461;
6ab7 : 3333;
6ab8 : 2587;
6ab9 : 5063;
6aba : 7548;
6abb : 6911;
6abc : 7101;
6abd : 5293;
6abe : 4679;
6abf : 4746;
6ac0 : 5235;
6ac1 : 4290;
6ac2 : 2535;
6ac3 : 3488;
6ac4 : 6547;
6ac5 : 5976;
6ac6 : 6993;
6ac7 : 5884;
6ac8 : 3534;
6ac9 : 2038;
6aca : 652;
6acb : 25;
6acc : 1281;
6acd : 1545;
6ace : 2473;
6acf : 3118;
6ad0 : 4009;
6ad1 : 1041;
6ad2 : -678;
6ad3 : -232;
6ad4 : -1430;
6ad5 : -137;
6ad6 : 2276;
6ad7 : 4325;
6ad8 : 2841;
6ad9 : 863;
6ada : -3971;
6adb : -5212;
6adc : -4435;
6add : -5525;
6ade : -5810;
6adf : -5020;
6ae0 : -7611;
6ae1 : -4757;
6ae2 : -3101;
6ae3 : -5267;
6ae4 : -5508;
6ae5 : -5890;
6ae6 : -6723;
6ae7 : -3038;
6ae8 : -1098;
6ae9 : -2555;
6aea : -4934;
6aeb : -5085;
6aec : -4193;
6aed : -4353;
6aee : -3746;
6aef : -2921;
6af0 : -1734;
6af1 : -3596;
6af2 : -3265;
6af3 : -4069;
6af4 : -4396;
6af5 : -3751;
6af6 : -1959;
6af7 : -371;
6af8 : -563;
6af9 : -468;
6afa : 1403;
6afb : 1653;
6afc : 1303;
6afd : 490;
6afe : 1234;
6aff : 933;
6b00 : -36;
6b01 : 899;
6b02 : 1062;
6b03 : 671;
6b04 : 3862;
6b05 : 5316;
6b06 : 4526;
6b07 : 4024;
6b08 : 1617;
6b09 : 1586;
6b0a : 2819;
6b0b : 4382;
6b0c : 5527;
6b0d : 8672;
6b0e : 9051;
6b0f : 8325;
6b10 : 6678;
6b11 : 4712;
6b12 : 2963;
6b13 : 3150;
6b14 : 3652;
6b15 : 3743;
6b16 : 2697;
6b17 : 5174;
6b18 : 5180;
6b19 : 2032;
6b1a : 523;
6b1b : -47;
6b1c : -399;
6b1d : 1979;
6b1e : 3192;
6b1f : 2335;
6b20 : 160;
6b21 : -1417;
6b22 : -821;
6b23 : -1936;
6b24 : -2596;
6b25 : -2426;
6b26 : -988;
6b27 : -1621;
6b28 : -1498;
6b29 : -2764;
6b2a : -3967;
6b2b : -5332;
6b2c : -4901;
6b2d : -3873;
6b2e : -5089;
6b2f : -6322;
6b30 : -4630;
6b31 : -4825;
6b32 : -5198;
6b33 : -4812;
6b34 : -4327;
6b35 : -4307;
6b36 : -5159;
6b37 : -4733;
6b38 : -3453;
6b39 : -1805;
6b3a : -1841;
6b3b : -1861;
6b3c : -738;
6b3d : -469;
6b3e : -2486;
6b3f : -877;
6b40 : -455;
6b41 : -1476;
6b42 : -22;
6b43 : 2603;
6b44 : 3065;
6b45 : 3279;
6b46 : 1491;
6b47 : -1194;
6b48 : -1111;
6b49 : 512;
6b4a : 1454;
6b4b : 2546;
6b4c : 1658;
6b4d : 1948;
6b4e : 3036;
6b4f : 3054;
6b50 : 2450;
6b51 : 2271;
6b52 : 1935;
6b53 : 2551;
6b54 : 4584;
6b55 : 6759;
6b56 : 5720;
6b57 : 3386;
6b58 : 4343;
6b59 : 3666;
6b5a : 2546;
6b5b : 2847;
6b5c : 4749;
6b5d : 5466;
6b5e : 5839;
6b5f : 3681;
6b60 : 2131;
6b61 : 977;
6b62 : 108;
6b63 : -601;
6b64 : -1703;
6b65 : -1888;
6b66 : -675;
6b67 : -485;
6b68 : -911;
6b69 : -2031;
6b6a : -3585;
6b6b : -3989;
6b6c : -4418;
6b6d : -5030;
6b6e : -5343;
6b6f : -4674;
6b70 : -6099;
6b71 : -5357;
6b72 : -3527;
6b73 : -3623;
6b74 : -3796;
6b75 : -1575;
6b76 : -1885;
6b77 : -2102;
6b78 : -1585;
6b79 : -2426;
6b7a : -3399;
6b7b : -5208;
6b7c : -7428;
6b7d : -6065;
6b7e : -2300;
6b7f : -777;
6b80 : 706;
6b81 : -244;
6b82 : -5365;
6b83 : -5777;
6b84 : -3642;
6b85 : -2230;
6b86 : 883;
6b87 : 2506;
6b88 : 514;
6b89 : 943;
6b8a : 2481;
6b8b : 1499;
6b8c : -420;
6b8d : -1557;
6b8e : -1062;
6b8f : 837;
6b90 : 3089;
6b91 : 5742;
6b92 : 7013;
6b93 : 7546;
6b94 : 7821;
6b95 : 6705;
6b96 : 4845;
6b97 : 3618;
6b98 : 1787;
6b99 : 1046;
6b9a : 2948;
6b9b : 3915;
6b9c : 5252;
6b9d : 7676;
6b9e : 8590;
6b9f : 8346;
6ba0 : 8211;
6ba1 : 5985;
6ba2 : 3907;
6ba3 : 2989;
6ba4 : 876;
6ba5 : 234;
6ba6 : 1429;
6ba7 : 3207;
6ba8 : 4114;
6ba9 : 3999;
6baa : 1561;
6bab : 213;
6bac : 839;
6bad : -457;
6bae : -775;
6baf : -990;
6bb0 : -2182;
6bb1 : -3204;
6bb2 : -4071;
6bb3 : -5675;
6bb4 : -6917;
6bb5 : -5829;
6bb6 : -3008;
6bb7 : -1781;
6bb8 : -1748;
6bb9 : -281;
6bba : 53;
6bbb : -1060;
6bbc : -2116;
6bbd : -3217;
6bbe : -5203;
6bbf : -5731;
6bc0 : -6374;
6bc1 : -6921;
6bc2 : -6949;
6bc3 : -7105;
6bc4 : -6900;
6bc5 : -4952;
6bc6 : -4594;
6bc7 : -3226;
6bc8 : -1990;
6bc9 : -2297;
6bca : -1583;
6bcb : -1050;
6bcc : -2065;
6bcd : -3541;
6bce : -3609;
6bcf : -4393;
6bd0 : -5310;
6bd1 : -6167;
6bd2 : -6117;
6bd3 : -4795;
6bd4 : -1641;
6bd5 : 1158;
6bd6 : 3642;
6bd7 : 5348;
6bd8 : 4713;
6bd9 : 2992;
6bda : 1588;
6bdb : 979;
6bdc : 1116;
6bdd : 986;
6bde : 2979;
6bdf : 4899;
6be0 : 4815;
6be1 : 6584;
6be2 : 8241;
6be3 : 4277;
6be4 : 1839;
6be5 : 1780;
6be6 : 1334;
6be7 : 2389;
6be8 : 4104;
6be9 : 4271;
6bea : 4762;
6beb : 6996;
6bec : 7352;
6bed : 6098;
6bee : 5115;
6bef : 4036;
6bf0 : 3328;
6bf1 : 3151;
6bf2 : 2878;
6bf3 : 3902;
6bf4 : 4098;
6bf5 : 1929;
6bf6 : -47;
6bf7 : 692;
6bf8 : -296;
6bf9 : -2105;
6bfa : -2456;
6bfb : -3814;
6bfc : -4071;
6bfd : -2126;
6bfe : -463;
6bff : 1434;
6c00 : 2961;
6c01 : -525;
6c02 : -2975;
6c03 : -3497;
6c04 : -4392;
6c05 : -5182;
6c06 : -3995;
6c07 : -2536;
6c08 : -1503;
6c09 : -217;
6c0a : -43;
6c0b : -2308;
6c0c : -2518;
6c0d : -2823;
6c0e : -3523;
6c0f : -4487;
6c10 : -5634;
6c11 : -5591;
6c12 : -5426;
6c13 : -5658;
6c14 : -5034;
6c15 : -3370;
6c16 : -2636;
6c17 : -656;
6c18 : 166;
6c19 : -1294;
6c1a : -1554;
6c1b : 261;
6c1c : 1391;
6c1d : 414;
6c1e : -2006;
6c1f : -1263;
6c20 : 1080;
6c21 : 2069;
6c22 : 3592;
6c23 : 4355;
6c24 : 2805;
6c25 : 2840;
6c26 : 3016;
6c27 : 1718;
6c28 : 1960;
6c29 : 3194;
6c2a : 2544;
6c2b : 2179;
6c2c : 3590;
6c2d : 4275;
6c2e : 3735;
6c2f : 4148;
6c30 : 4274;
6c31 : 2497;
6c32 : 2252;
6c33 : 2804;
6c34 : 2819;
6c35 : 3108;
6c36 : 3659;
6c37 : 3099;
6c38 : 4571;
6c39 : 4606;
6c3a : 2788;
6c3b : 447;
6c3c : 394;
6c3d : 1293;
6c3e : 1306;
6c3f : 1843;
6c40 : 1222;
6c41 : 269;
6c42 : 568;
6c43 : -435;
6c44 : -2856;
6c45 : -4694;
6c46 : -6202;
6c47 : -5596;
6c48 : -4958;
6c49 : -4526;
6c4a : -3512;
6c4b : -1495;
6c4c : -1505;
6c4d : -1070;
6c4e : -1746;
6c4f : -3902;
6c50 : -4773;
6c51 : -4877;
6c52 : -6493;
6c53 : -7075;
6c54 : -5142;
6c55 : -2045;
6c56 : -1706;
6c57 : -2646;
6c58 : -2718;
6c59 : -4232;
6c5a : -5337;
6c5b : -3616;
6c5c : -2251;
6c5d : -2129;
6c5e : -746;
6c5f : -532;
6c60 : -620;
6c61 : -1219;
6c62 : -2646;
6c63 : -2993;
6c64 : -1420;
6c65 : -911;
6c66 : -96;
6c67 : 1744;
6c68 : 2761;
6c69 : 3331;
6c6a : 4650;
6c6b : 3316;
6c6c : 2374;
6c6d : 3962;
6c6e : 4681;
6c6f : 3972;
6c70 : 3926;
6c71 : 3913;
6c72 : 4070;
6c73 : 4505;
6c74 : 2154;
6c75 : -64;
6c76 : 840;
6c77 : 1801;
6c78 : 3741;
6c79 : 6692;
6c7a : 6173;
6c7b : 5231;
6c7c : 4903;
6c7d : 5449;
6c7e : 4427;
6c7f : 2470;
6c80 : 2465;
6c81 : 3700;
6c82 : 3142;
6c83 : 3925;
6c84 : 5304;
6c85 : 5192;
6c86 : 3427;
6c87 : 1890;
6c88 : -524;
6c89 : -3260;
6c8a : -3320;
6c8b : -3518;
6c8c : -3555;
6c8d : -2575;
6c8e : -976;
6c8f : -41;
6c90 : 255;
6c91 : -1815;
6c92 : -4207;
6c93 : -5837;
6c94 : -5226;
6c95 : -3879;
6c96 : -2332;
6c97 : -2335;
6c98 : -3177;
6c99 : -2471;
6c9a : -2172;
6c9b : -3643;
6c9c : -4351;
6c9d : -5252;
6c9e : -5117;
6c9f : -4186;
6ca0 : -5106;
6ca1 : -4338;
6ca2 : -1819;
6ca3 : -1120;
6ca4 : -2137;
6ca5 : -2112;
6ca6 : -3177;
6ca7 : -4733;
6ca8 : -3960;
6ca9 : -3020;
6caa : -4150;
6cab : -2751;
6cac : 231;
6cad : 1048;
6cae : 1254;
6caf : 1642;
6cb0 : 859;
6cb1 : 122;
6cb2 : -484;
6cb3 : -1826;
6cb4 : -2776;
6cb5 : -994;
6cb6 : 1547;
6cb7 : 4133;
6cb8 : 4965;
6cb9 : 4454;
6cba : 4139;
6cbb : 2543;
6cbc : 1029;
6cbd : 1010;
6cbe : 2758;
6cbf : 4423;
6cc0 : 4652;
6cc1 : 4441;
6cc2 : 4250;
6cc3 : 3101;
6cc4 : 3817;
6cc5 : 4138;
6cc6 : 2599;
6cc7 : 1871;
6cc8 : 2657;
6cc9 : 3815;
6cca : 5600;
6ccb : 5472;
6ccc : 3746;
6ccd : 2351;
6cce : 2045;
6ccf : 1688;
6cd0 : 591;
6cd1 : -147;
6cd2 : -550;
6cd3 : -377;
6cd4 : 65;
6cd5 : 33;
6cd6 : -1531;
6cd7 : -1009;
6cd8 : -259;
6cd9 : -1097;
6cda : -1983;
6cdb : -1509;
6cdc : -2498;
6cdd : -2662;
6cde : -2239;
6cdf : -2391;
6ce0 : -2574;
6ce1 : -2196;
6ce2 : -1859;
6ce3 : -2602;
6ce4 : -3128;
6ce5 : -1561;
6ce6 : 648;
6ce7 : 305;
6ce8 : -2003;
6ce9 : -4791;
6cea : -6113;
6ceb : -7384;
6cec : -6351;
6ced : -4681;
6cee : -4615;
6cef : -2923;
6cf0 : -96;
6cf1 : -783;
6cf2 : -2207;
6cf3 : -2918;
6cf4 : -4489;
6cf5 : -4685;
6cf6 : -3526;
6cf7 : -1493;
6cf8 : 228;
6cf9 : 1813;
6cfa : 3074;
6cfb : 2479;
6cfc : 732;
6cfd : 1441;
6cfe : 2678;
6cff : 3769;
6d00 : 5591;
6d01 : 4750;
6d02 : 3191;
6d03 : 3513;
6d04 : 2317;
6d05 : 300;
6d06 : -17;
6d07 : 525;
6d08 : 1472;
6d09 : 3581;
6d0a : 5018;
6d0b : 4723;
6d0c : 4581;
6d0d : 5685;
6d0e : 5756;
6d0f : 4891;
6d10 : 3957;
6d11 : 2882;
6d12 : 2675;
6d13 : 2150;
6d14 : 656;
6d15 : 1509;
6d16 : 2490;
6d17 : 1753;
6d18 : 1774;
6d19 : 442;
6d1a : -1245;
6d1b : -17;
6d1c : 894;
6d1d : -1637;
6d1e : -2620;
6d1f : -2131;
6d20 : -1687;
6d21 : -1666;
6d22 : -2131;
6d23 : -3572;
6d24 : -4899;
6d25 : -3272;
6d26 : -407;
6d27 : 604;
6d28 : 973;
6d29 : 523;
6d2a : -681;
6d2b : -2560;
6d2c : -5764;
6d2d : -7325;
6d2e : -7944;
6d2f : -6804;
6d30 : -4583;
6d31 : -3128;
6d32 : -2118;
6d33 : -526;
6d34 : -1224;
6d35 : -3288;
6d36 : -4843;
6d37 : -4727;
6d38 : -2951;
6d39 : -1352;
6d3a : -1979;
6d3b : -2754;
6d3c : -1933;
6d3d : -878;
6d3e : 415;
6d3f : -225;
6d40 : -587;
6d41 : 1081;
6d42 : 873;
6d43 : 422;
6d44 : 1389;
6d45 : 1065;
6d46 : 1456;
6d47 : 4046;
6d48 : 5790;
6d49 : 4125;
6d4a : 3313;
6d4b : 4167;
6d4c : 2341;
6d4d : 652;
6d4e : 2274;
6d4f : 2874;
6d50 : 4384;
6d51 : 7017;
6d52 : 7056;
6d53 : 5017;
6d54 : 3203;
6d55 : 52;
6d56 : -2402;
6d57 : -2395;
6d58 : -833;
6d59 : 2343;
6d5a : 6270;
6d5b : 8398;
6d5c : 7763;
6d5d : 5245;
6d5e : 1931;
6d5f : 266;
6d60 : 275;
6d61 : 1315;
6d62 : 1391;
6d63 : 2027;
6d64 : 1621;
6d65 : -377;
6d66 : -1737;
6d67 : -3396;
6d68 : -3919;
6d69 : -1303;
6d6a : 155;
6d6b : 263;
6d6c : 1206;
6d6d : 854;
6d6e : -1263;
6d6f : -3189;
6d70 : -4372;
6d71 : -4893;
6d72 : -3737;
6d73 : -2328;
6d74 : -2473;
6d75 : -4465;
6d76 : -4096;
6d77 : -1775;
6d78 : -1182;
6d79 : -1151;
6d7a : -653;
6d7b : -2030;
6d7c : -3488;
6d7d : -4109;
6d7e : -5990;
6d7f : -7432;
6d80 : -6224;
6d81 : -3774;
6d82 : -793;
6d83 : 905;
6d84 : 68;
6d85 : -1856;
6d86 : -3530;
6d87 : -4177;
6d88 : -3057;
6d89 : -756;
6d8a : 1057;
6d8b : 2341;
6d8c : 2911;
6d8d : 1400;
6d8e : 64;
6d8f : 478;
6d90 : -170;
6d91 : -137;
6d92 : 1127;
6d93 : 1837;
6d94 : 2986;
6d95 : 3868;
6d96 : 1686;
6d97 : -1134;
6d98 : -1597;
6d99 : -126;
6d9a : 1272;
6d9b : 2660;
6d9c : 3732;
6d9d : 4348;
6d9e : 4186;
6d9f : 4849;
6da0 : 4886;
6da1 : 4166;
6da2 : 5895;
6da3 : 6887;
6da4 : 4733;
6da5 : 2227;
6da6 : 695;
6da7 : -876;
6da8 : -280;
6da9 : 234;
6daa : 847;
6dab : 1845;
6dac : 3460;
6dad : 3474;
6dae : 1312;
6daf : -381;
6db0 : -1414;
6db1 : -943;
6db2 : 427;
6db3 : 178;
6db4 : -577;
6db5 : -1445;
6db6 : -2801;
6db7 : -3241;
6db8 : -3400;
6db9 : -3445;
6dba : -2478;
6dbb : -754;
6dbc : -1023;
6dbd : -961;
6dbe : -905;
6dbf : -3035;
6dc0 : -4482;
6dc1 : -4635;
6dc2 : -4777;
6dc3 : -3572;
6dc4 : -1553;
6dc5 : -1110;
6dc6 : -3069;
6dc7 : -4384;
6dc8 : -4076;
6dc9 : -3643;
6dca : -2111;
6dcb : -835;
6dcc : -1485;
6dcd : -797;
6dce : -219;
6dcf : -1275;
6dd0 : -2982;
6dd1 : -3846;
6dd2 : -3673;
6dd3 : -1791;
6dd4 : 307;
6dd5 : 1663;
6dd6 : 2260;
6dd7 : 2480;
6dd8 : 3061;
6dd9 : 2412;
6dda : 1772;
6ddb : 1571;
6ddc : 1333;
6ddd : 1240;
6dde : 1385;
6ddf : 1473;
6de0 : 2357;
6de1 : 2305;
6de2 : 2465;
6de3 : 3109;
6de4 : 2984;
6de5 : 3005;
6de6 : 3367;
6de7 : 2825;
6de8 : 2101;
6de9 : 2068;
6dea : 3136;
6deb : 4963;
6dec : 5135;
6ded : 5396;
6dee : 4191;
6def : 1366;
6df0 : 1061;
6df1 : 1362;
6df2 : 332;
6df3 : 1871;
6df4 : 3558;
6df5 : 3687;
6df6 : 2673;
6df7 : -54;
6df8 : -3362;
6df9 : -4417;
6dfa : -3912;
6dfb : -3530;
6dfc : -2128;
6dfd : 68;
6dfe : 1552;
6dff : 1573;
6e00 : -170;
6e01 : -2888;
6e02 : -4389;
6e03 : -3890;
6e04 : -3013;
6e05 : -2664;
6e06 : -2437;
6e07 : -2048;
6e08 : -666;
6e09 : -405;
6e0a : -2247;
6e0b : -3326;
6e0c : -4171;
6e0d : -4444;
6e0e : -2512;
6e0f : -2185;
6e10 : -2823;
6e11 : -2840;
6e12 : -2955;
6e13 : -2985;
6e14 : -3462;
6e15 : -3333;
6e16 : -1905;
6e17 : -3052;
6e18 : -2972;
6e19 : -1315;
6e1a : -754;
6e1b : 1352;
6e1c : 3185;
6e1d : 2443;
6e1e : 1808;
6e1f : 1576;
6e20 : 1013;
6e21 : 176;
6e22 : -818;
6e23 : -979;
6e24 : -73;
6e25 : 2918;
6e26 : 4333;
6e27 : 3275;
6e28 : 1562;
6e29 : 1076;
6e2a : 1004;
6e2b : 1581;
6e2c : 1657;
6e2d : 1582;
6e2e : 3229;
6e2f : 4810;
6e30 : 4889;
6e31 : 4334;
6e32 : 3634;
6e33 : 2599;
6e34 : 2670;
6e35 : 1468;
6e36 : 837;
6e37 : 1680;
6e38 : 2325;
6e39 : 2357;
6e3a : 1426;
6e3b : 940;
6e3c : 944;
6e3d : 616;
6e3e : 848;
6e3f : 160;
6e40 : -835;
6e41 : 252;
6e42 : 1021;
6e43 : 1291;
6e44 : 1861;
6e45 : 1160;
6e46 : 88;
6e47 : -1174;
6e48 : -2827;
6e49 : -4224;
6e4a : -4663;
6e4b : -3527;
6e4c : -2054;
6e4d : -1406;
6e4e : 106;
6e4f : 869;
6e50 : 21;
6e51 : -1017;
6e52 : -2797;
6e53 : -4603;
6e54 : -3886;
6e55 : -2583;
6e56 : -1755;
6e57 : -1506;
6e58 : -2484;
6e59 : -2212;
6e5a : -1931;
6e5b : -2622;
6e5c : -3863;
6e5d : -4631;
6e5e : -4435;
6e5f : -2030;
6e60 : -199;
6e61 : 598;
6e62 : -503;
6e63 : -1421;
6e64 : -1424;
6e65 : -2170;
6e66 : -1568;
6e67 : -379;
6e68 : -162;
6e69 : 1102;
6e6a : 2548;
6e6b : 1920;
6e6c : 2277;
6e6d : 2435;
6e6e : 1046;
6e6f : 595;
6e70 : 891;
6e71 : 222;
6e72 : 695;
6e73 : 1478;
6e74 : 639;
6e75 : 292;
6e76 : 1438;
6e77 : 3003;
6e78 : 3339;
6e79 : 3543;
6e7a : 3003;
6e7b : 3126;
6e7c : 3756;
6e7d : 3924;
6e7e : 3661;
6e7f : 3211;
6e80 : 2711;
6e81 : 3035;
6e82 : 2796;
6e83 : 1543;
6e84 : 1196;
6e85 : 1459;
6e86 : 1928;
6e87 : 2220;
6e88 : 825;
6e89 : -896;
6e8a : -966;
6e8b : -1716;
6e8c : -1561;
6e8d : -787;
6e8e : -739;
6e8f : 1386;
6e90 : 2257;
6e91 : 474;
6e92 : -1388;
6e93 : -2248;
6e94 : -2554;
6e95 : -1269;
6e96 : -1177;
6e97 : -1269;
6e98 : -174;
6e99 : -64;
6e9a : -2126;
6e9b : -3341;
6e9c : -4362;
6e9d : -5620;
6e9e : -4780;
6e9f : -2921;
6ea0 : -1673;
6ea1 : -1169;
6ea2 : -658;
6ea3 : -1705;
6ea4 : -3116;
6ea5 : -4383;
6ea6 : -4402;
6ea7 : -3311;
6ea8 : -2150;
6ea9 : -1021;
6eaa : -217;
6eab : 276;
6eac : 1114;
6ead : 934;
6eae : -66;
6eaf : -602;
6eb0 : 446;
6eb1 : 3237;
6eb2 : 4776;
6eb3 : 3056;
6eb4 : 1145;
6eb5 : 1916;
6eb6 : -3779;
6eb7 : -6515;
6eb8 : -6279;
6eb9 : -6563;
6eba : -2299;
6ebb : 1789;
6ebc : 3950;
6ebd : 5043;
6ebe : 1306;
6ebf : 896;
6ec0 : 116;
6ec1 : 2728;
6ec2 : 4145;
6ec3 : 1779;
6ec4 : 2728;
6ec5 : -208;
6ec6 : -2464;
6ec7 : -426;
6ec8 : -3478;
6ec9 : -323;
6eca : 1158;
6ecb : 3890;
6ecc : 7164;
6ecd : 6686;
6ece : 8232;
6ecf : 7450;
6ed0 : 4881;
6ed1 : 3689;
6ed2 : 5925;
6ed3 : 9413;
6ed4 : 11817;
6ed5 : 10492;
6ed6 : 7859;
6ed7 : -2033;
6ed8 : -10663;
6ed9 : -11366;
6eda : -10060;
6edb : -1159;
6edc : 3744;
6edd : 6458;
6ede : 3149;
6edf : -2406;
6ee0 : -3336;
6ee1 : -3830;
6ee2 : -2592;
6ee3 : -1758;
6ee4 : -1437;
6ee5 : -1124;
6ee6 : 10;
6ee7 : -217;
6ee8 : -3722;
6ee9 : -7456;
6eea : -7589;
6eeb : -8805;
6eec : -10072;
6eed : -10116;
6eee : -10052;
6eef : -8193;
6ef0 : -8464;
6ef1 : -7110;
6ef2 : -277;
6ef3 : 1618;
6ef4 : 3600;
6ef5 : 3843;
6ef6 : 3279;
6ef7 : 1327;
6ef8 : -4338;
6ef9 : -5847;
6efa : -5800;
6efb : -564;
6efc : 6709;
6efd : 10538;
6efe : 9459;
6eff : 5872;
6f00 : 4120;
6f01 : 3983;
6f02 : 1635;
6f03 : 3126;
6f04 : 4385;
6f05 : 5608;
6f06 : 5904;
6f07 : 6083;
6f08 : 3911;
6f09 : 1992;
6f0a : 1786;
6f0b : -1338;
6f0c : -994;
6f0d : -1715;
6f0e : 2363;
6f0f : 5387;
6f10 : 1433;
6f11 : -3038;
6f12 : -1675;
6f13 : -869;
6f14 : -358;
6f15 : 4667;
6f16 : 5549;
6f17 : 5589;
6f18 : -249;
6f19 : -7988;
6f1a : -12290;
6f1b : -13314;
6f1c : -7214;
6f1d : 1303;
6f1e : 2426;
6f1f : 884;
6f20 : -961;
6f21 : -69;
6f22 : 1157;
6f23 : 289;
6f24 : 1742;
6f25 : 2084;
6f26 : 3074;
6f27 : 1650;
6f28 : 547;
6f29 : 1179;
6f2a : -842;
6f2b : -1235;
6f2c : -1551;
6f2d : -4086;
6f2e : -1591;
6f2f : 133;
6f30 : 1326;
6f31 : -737;
6f32 : -3345;
6f33 : -1935;
6f34 : 1353;
6f35 : 2768;
6f36 : 3709;
6f37 : 4696;
6f38 : 767;
6f39 : -6972;
6f3a : -12395;
6f3b : -13063;
6f3c : -10625;
6f3d : -2246;
6f3e : 2679;
6f3f : 2348;
6f40 : -633;
6f41 : -2366;
6f42 : 2882;
6f43 : 3675;
6f44 : 3938;
6f45 : 3269;
6f46 : -396;
6f47 : -815;
6f48 : -205;
6f49 : 105;
6f4a : 1221;
6f4b : 672;
6f4c : -258;
6f4d : 81;
6f4e : -753;
6f4f : 2574;
6f50 : 5665;
6f51 : 3628;
6f52 : -486;
6f53 : -815;
6f54 : 1470;
6f55 : 2118;
6f56 : 5261;
6f57 : 6031;
6f58 : 4862;
6f59 : 2974;
6f5a : 106;
6f5b : -5682;
6f5c : -6305;
6f5d : -1439;
6f5e : 3966;
6f5f : 5643;
6f60 : 2484;
6f61 : -1857;
6f62 : -279;
6f63 : 2464;
6f64 : 3781;
6f65 : 4875;
6f66 : 3789;
6f67 : 3435;
6f68 : 1064;
6f69 : 2462;
6f6a : 1371;
6f6b : 266;
6f6c : -1419;
6f6d : -2783;
6f6e : -4936;
6f6f : -5506;
6f70 : -3156;
6f71 : -2955;
6f72 : -4464;
6f73 : -4770;
6f74 : -3629;
6f75 : 449;
6f76 : 3802;
6f77 : 7871;
6f78 : 9340;
6f79 : 6167;
6f7a : -2141;
6f7b : -9653;
6f7c : -13779;
6f7d : -11393;
6f7e : -5380;
6f7f : -158;
6f80 : -225;
6f81 : -2862;
6f82 : -1934;
6f83 : -144;
6f84 : -28;
6f85 : -210;
6f86 : -801;
6f87 : -1505;
6f88 : -243;
6f89 : -53;
6f8a : 2004;
6f8b : 2658;
6f8c : 1207;
6f8d : -1252;
6f8e : -3403;
6f8f : -3201;
6f90 : -1306;
6f91 : 887;
6f92 : 1932;
6f93 : -315;
6f94 : 999;
6f95 : 2536;
6f96 : 1812;
6f97 : 3014;
6f98 : 5907;
6f99 : 7340;
6f9a : 4090;
6f9b : -1896;
6f9c : -6158;
6f9d : -5076;
6f9e : -765;
6f9f : 5634;
6fa0 : 6894;
6fa1 : 3573;
6fa2 : 504;
6fa3 : -22;
6fa4 : 834;
6fa5 : 1432;
6fa6 : -229;
6fa7 : 116;
6fa8 : 980;
6fa9 : 1809;
6faa : 3176;
6fab : 4806;
6fac : 2470;
6fad : 853;
6fae : -1972;
6faf : -6440;
6fb0 : -4239;
6fb1 : -1057;
6fb2 : 2007;
6fb3 : 1536;
6fb4 : -162;
6fb5 : -615;
6fb6 : -448;
6fb7 : 998;
6fb8 : 1744;
6fb9 : 5059;
6fba : 6126;
6fbb : 3500;
6fbc : -1363;
6fbd : -6221;
6fbe : -7777;
6fbf : -4299;
6fc0 : -3214;
6fc1 : -2916;
6fc2 : -5251;
6fc3 : -4474;
6fc4 : 576;
6fc5 : 3383;
6fc6 : 2771;
6fc7 : 1758;
6fc8 : 28;
6fc9 : -1156;
6fca : -133;
6fcb : -488;
6fcc : -1352;
6fcd : -1722;
6fce : -3266;
6fcf : -5996;
6fd0 : -5329;
6fd1 : -3246;
6fd2 : -329;
6fd3 : 2565;
6fd4 : -707;
6fd5 : 245;
6fd6 : 722;
6fd7 : 160;
6fd8 : 2113;
6fd9 : 4434;
6fda : 7710;
6fdb : 4875;
6fdc : 446;
6fdd : -3725;
6fde : -6091;
6fdf : -4642;
6fe0 : -408;
6fe1 : 1501;
6fe2 : -89;
6fe3 : -1323;
6fe4 : 1337;
6fe5 : 4144;
6fe6 : 3931;
6fe7 : 2708;
6fe8 : -102;
6fe9 : -885;
6fea : 1016;
6feb : 3342;
6fec : 4880;
6fed : 5270;
6fee : 3824;
6fef : 1351;
6ff0 : -793;
6ff1 : -1893;
6ff2 : 230;
6ff3 : 475;
6ff4 : -28;
6ff5 : -1410;
6ff6 : 820;
6ff7 : 1214;
6ff8 : 1013;
6ff9 : 2103;
6ffa : 4280;
6ffb : 3857;
6ffc : 1860;
6ffd : -2341;
6ffe : -4700;
6fff : -5177;
7000 : -2752;
7001 : -827;
7002 : -524;
7003 : -3174;
7004 : -4034;
7005 : -740;
7006 : -50;
7007 : 421;
7008 : 142;
7009 : -646;
700a : -2170;
700b : -1083;
700c : 1104;
700d : 2002;
700e : 1475;
700f : -1169;
7010 : -4277;
7011 : -6981;
7012 : -4473;
7013 : -2098;
7014 : -1648;
7015 : -3410;
7016 : -2230;
7017 : 580;
7018 : 327;
7019 : 2222;
701a : 4445;
701b : 7262;
701c : 5875;
701d : 1086;
701e : -2328;
701f : -5551;
7020 : -5176;
7021 : -1238;
7022 : -12;
7023 : -1901;
7024 : -2460;
7025 : 652;
7026 : 2516;
7027 : 3222;
7028 : 1858;
7029 : -9;
702a : -160;
702b : 265;
702c : 2483;
702d : 4609;
702e : 5056;
702f : 3117;
7030 : 2059;
7031 : -762;
7032 : -1748;
7033 : 354;
7034 : 336;
7035 : -693;
7036 : -1513;
7037 : 564;
7038 : 1642;
7039 : 2380;
703a : 3286;
703b : 4751;
703c : 5481;
703d : 3258;
703e : -562;
703f : -3119;
7040 : -4454;
7041 : -924;
7042 : 2150;
7043 : 1738;
7044 : -1895;
7045 : -3996;
7046 : -1225;
7047 : 599;
7048 : 526;
7049 : -509;
704a : -737;
704b : -694;
704c : 1011;
704d : 3754;
704e : 4160;
704f : 2684;
7050 : 290;
7051 : -3640;
7052 : -5602;
7053 : -4108;
7054 : -2019;
7055 : -511;
7056 : -1428;
7057 : -2077;
7058 : -1459;
7059 : -1087;
705a : -614;
705b : 498;
705c : 2158;
705d : 1925;
705e : -468;
705f : -2302;
7060 : -4303;
7061 : -4748;
7062 : -2583;
7063 : -1039;
7064 : -3100;
7065 : -5978;
7066 : -3192;
7067 : -338;
7068 : 2173;
7069 : 4066;
706a : 3631;
706b : 1120;
706c : 925;
706d : 2593;
706e : 3368;
706f : 3464;
7070 : 1495;
7071 : -1029;
7072 : -2665;
7073 : -2818;
7074 : -1701;
7075 : 912;
7076 : 971;
7077 : -323;
7078 : 1625;
7079 : 902;
707a : 776;
707b : 3565;
707c : 7605;
707d : 8000;
707e : 5305;
707f : 1077;
7080 : -2397;
7081 : -3787;
7082 : -2673;
7083 : -1297;
7084 : -1629;
7085 : -3695;
7086 : -3452;
7087 : -244;
7088 : 2573;
7089 : 3614;
708a : 2239;
708b : -320;
708c : -2965;
708d : -2355;
708e : 690;
708f : 3445;
7090 : 4398;
7091 : 3573;
7092 : 2153;
7093 : -325;
7094 : -671;
7095 : -1271;
7096 : -2774;
7097 : -5692;
7098 : -5110;
7099 : -3160;
709a : -1291;
709b : 855;
709c : 2629;
709d : 5370;
709e : 5013;
709f : 1203;
70a0 : -2645;
70a1 : -5846;
70a2 : -6789;
70a3 : -3310;
70a4 : -295;
70a5 : -1903;
70a6 : -4538;
70a7 : -4136;
70a8 : -2004;
70a9 : -802;
70aa : 608;
70ab : 659;
70ac : 555;
70ad : 1317;
70ae : 3450;
70af : 5025;
70b0 : 5870;
70b1 : 4000;
70b2 : 1502;
70b3 : -1889;
70b4 : -4041;
70b5 : -3720;
70b6 : -1928;
70b7 : -1228;
70b8 : -2131;
70b9 : -1116;
70ba : 556;
70bb : 1697;
70bc : 3032;
70bd : 5615;
70be : 6976;
70bf : 4185;
70c0 : 1121;
70c1 : -1782;
70c2 : -4838;
70c3 : -2644;
70c4 : 354;
70c5 : 596;
70c6 : -1104;
70c7 : -2866;
70c8 : -1063;
70c9 : 2196;
70ca : 3263;
70cb : 2041;
70cc : 1136;
70cd : -168;
70ce : 336;
70cf : 2150;
70d0 : 3045;
70d1 : 2264;
70d2 : 876;
70d3 : -21;
70d4 : -1014;
70d5 : -1762;
70d6 : -692;
70d7 : 263;
70d8 : -1094;
70d9 : -1579;
70da : -1323;
70db : -1865;
70dc : -857;
70dd : 1124;
70de : 3956;
70df : 4306;
70e0 : 1917;
70e1 : -796;
70e2 : -3929;
70e3 : -4047;
70e4 : -1748;
70e5 : 351;
70e6 : 356;
70e7 : -2623;
70e8 : -3259;
70e9 : -1327;
70ea : -1152;
70eb : -1234;
70ec : -1695;
70ed : -3254;
70ee : -2462;
70ef : 387;
70f0 : 2198;
70f1 : 4210;
70f2 : 2970;
70f3 : 525;
70f4 : -1412;
70f5 : -2092;
70f6 : -1662;
70f7 : -1388;
70f8 : -1753;
70f9 : -3562;
70fa : -2506;
70fb : -1133;
70fc : 223;
70fd : 1428;
70fe : 4529;
70ff : 6709;
7100 : 5595;
7101 : 1847;
7102 : -884;
7103 : -4225;
7104 : -4041;
7105 : -1479;
7106 : -821;
7107 : -2079;
7108 : -3294;
7109 : -1170;
710a : 308;
710b : 2281;
710c : 3663;
710d : 3627;
710e : 3714;
710f : 3511;
7110 : 3545;
7111 : 4348;
7112 : 3211;
7113 : 402;
7114 : -715;
7115 : -2234;
7116 : -2334;
7117 : -756;
7118 : 324;
7119 : -1183;
711a : -1809;
711b : -1517;
711c : -1978;
711d : -1195;
711e : 1289;
711f : 4724;
7120 : 6290;
7121 : 4472;
7122 : 1628;
7123 : -1000;
7124 : -2100;
7125 : -933;
7126 : -41;
7127 : -1508;
7128 : -4963;
7129 : -5350;
712a : -1989;
712b : 184;
712c : 1135;
712d : 386;
712e : -982;
712f : -1332;
7130 : -1019;
7131 : 257;
7132 : 1391;
7133 : 759;
7134 : 1117;
7135 : 635;
7136 : -973;
7137 : -1122;
7138 : -1559;
7139 : -2610;
713a : -5034;
713b : -5391;
713c : -3477;
713d : -993;
713e : 777;
713f : 3755;
7140 : 6726;
7141 : 6000;
7142 : 3857;
7143 : 623;
7144 : -3877;
7145 : -4649;
7146 : -1941;
7147 : 379;
7148 : -671;
7149 : -2448;
714a : -1902;
714b : -609;
714c : 830;
714d : 1520;
714e : 1028;
714f : 512;
7150 : 1319;
7151 : 3027;
7152 : 4745;
7153 : 4949;
7154 : 3983;
7155 : 2803;
7156 : 837;
7157 : -1157;
7158 : -2528;
7159 : -2282;
715a : -2533;
715b : -2902;
715c : -1276;
715d : 295;
715e : 894;
715f : 3386;
7160 : 5780;
7161 : 5030;
7162 : 2425;
7163 : 338;
7164 : -2390;
7165 : -3498;
7166 : -2385;
7167 : -562;
7168 : 524;
7169 : -2041;
716a : -3427;
716b : -1971;
716c : -1220;
716d : -580;
716e : 331;
716f : 225;
7170 : 25;
7171 : 956;
7172 : 2090;
7173 : 2525;
7174 : 1088;
7175 : 347;
7176 : 147;
7177 : -551;
7178 : -571;
7179 : -547;
717a : -1746;
717b : -4195;
717c : -4015;
717d : -3551;
717e : -3153;
717f : -1747;
7180 : 882;
7181 : 3336;
7182 : 4233;
7183 : 2454;
7184 : 482;
7185 : -1274;
7186 : -1952;
7187 : -349;
7188 : 772;
7189 : -764;
718a : -3177;
718b : -1967;
718c : -652;
718d : -217;
718e : 512;
718f : 213;
7190 : 432;
7191 : 652;
7192 : 843;
7193 : 2357;
7194 : 2670;
7195 : 1445;
7196 : 709;
7197 : -102;
7198 : 317;
7199 : 829;
719a : 1473;
719b : -651;
719c : -3164;
719d : -2214;
719e : 282;
719f : 1327;
71a0 : 2546;
71a1 : 5188;
71a2 : 6009;
71a3 : 4221;
71a4 : 2646;
71a5 : -194;
71a6 : -3489;
71a7 : -3258;
71a8 : -1606;
71a9 : -856;
71aa : -2250;
71ab : -3424;
71ac : -2177;
71ad : -1117;
71ae : 188;
71af : -61;
71b0 : -24;
71b1 : 594;
71b2 : 1279;
71b3 : 2426;
71b4 : 3779;
71b5 : 2801;
71b6 : 2213;
71b7 : 1557;
71b8 : -607;
71b9 : -1447;
71ba : -1646;
71bb : -1102;
71bc : -2512;
71bd : -2831;
71be : -2478;
71bf : -1427;
71c0 : -27;
71c1 : 1723;
71c2 : 3352;
71c3 : 3414;
71c4 : 1336;
71c5 : -95;
71c6 : -1646;
71c7 : -2656;
71c8 : -1515;
71c9 : -110;
71ca : -994;
71cb : -4160;
71cc : -4534;
71cd : -2826;
71ce : -833;
71cf : 622;
71d0 : 618;
71d1 : -179;
71d2 : -556;
71d3 : 251;
71d4 : 1853;
71d5 : 2035;
71d6 : 1387;
71d7 : 2215;
71d8 : 1684;
71d9 : 1787;
71da : 1615;
71db : 1071;
71dc : -1093;
71dd : -3998;
71de : -4340;
71df : -3109;
71e0 : -1655;
71e1 : 526;
71e2 : 4155;
71e3 : 5864;
71e4 : 5371;
71e5 : 3691;
71e6 : 1457;
71e7 : -1905;
71e8 : -2692;
71e9 : -1619;
71ea : -1286;
71eb : -2282;
71ec : -3200;
71ed : -1246;
71ee : 2822;
71ef : 4929;
71f0 : 3344;
71f1 : 462;
71f2 : -1350;
71f3 : -5912;
71f4 : -8497;
71f5 : -4891;
71f6 : -618;
71f7 : 5755;
71f8 : 10887;
71f9 : 10980;
71fa : 6210;
71fb : 341;
71fc : -1642;
71fd : -4760;
71fe : -4368;
71ff : -1021;
7200 : 2052;
7201 : 3012;
7202 : 1896;
7203 : 1030;
7204 : -2816;
7205 : -6585;
7206 : -3274;
7207 : 876;
7208 : 705;
7209 : 5441;
720a : 12376;
720b : 6528;
720c : -5535;
720d : -14568;
720e : -19053;
720f : -14285;
7210 : -3648;
7211 : 4522;
7212 : 4355;
7213 : 700;
7214 : -442;
7215 : 1542;
7216 : 2244;
7217 : 2587;
7218 : 7592;
7219 : 10465;
721a : 7099;
721b : 4300;
721c : -1234;
721d : -8178;
721e : -9335;
721f : -7380;
7220 : -6012;
7221 : -2237;
7222 : 8366;
7223 : 11329;
7224 : 7007;
7225 : -1211;
7226 : -8872;
7227 : -6473;
7228 : 208;
7229 : 6045;
722a : 6644;
722b : 2311;
722c : -3501;
722d : -6570;
722e : -5460;
722f : -4496;
7230 : -639;
7231 : 5799;
7232 : 7638;
7233 : 6932;
7234 : 4578;
7235 : 110;
7236 : 670;
7237 : 544;
7238 : -2486;
7239 : -2843;
723a : 4424;
723b : 6686;
723c : 297;
723d : -6874;
723e : -15182;
723f : -11825;
7240 : -1033;
7241 : 8242;
7242 : 8667;
7243 : 4387;
7244 : 1558;
7245 : 1135;
7246 : 1833;
7247 : 221;
7248 : -1708;
7249 : -610;
724a : -353;
724b : 571;
724c : 2191;
724d : -1979;
724e : -3254;
724f : -2701;
7250 : -3157;
7251 : -2735;
7252 : 4576;
7253 : 8980;
7254 : 1870;
7255 : -6511;
7256 : -13425;
7257 : -9695;
7258 : -987;
7259 : 8733;
725a : 10519;
725b : 4088;
725c : -2496;
725d : -5036;
725e : -3121;
725f : -3998;
7260 : -3969;
7261 : 6;
7262 : 2046;
7263 : 1983;
7264 : 5943;
7265 : 6300;
7266 : 5495;
7267 : 3551;
7268 : -1111;
7269 : -5316;
726a : -1058;
726b : 7132;
726c : 4758;
726d : -2383;
726e : -12814;
726f : -13211;
7270 : -4921;
7271 : 4188;
7272 : 8814;
7273 : 4428;
7274 : -1320;
7275 : -4136;
7276 : -313;
7277 : 1733;
7278 : 1687;
7279 : 4115;
727a : 4153;
727b : 1606;
727c : 2692;
727d : 1600;
727e : 1836;
727f : 2606;
7280 : -1393;
7281 : -4850;
7282 : -1918;
7283 : 5080;
7284 : 2036;
7285 : -2732;
7286 : -7793;
7287 : -8474;
7288 : -1126;
7289 : 4993;
728a : 6904;
728b : 1802;
728c : -1746;
728d : -3963;
728e : -2812;
728f : -787;
7290 : -599;
7291 : 1745;
7292 : 2489;
7293 : 961;
7294 : 1203;
7295 : -420;
7296 : -2548;
7297 : 2354;
7298 : 3531;
7299 : 338;
729a : 3015;
729b : 11006;
729c : 7691;
729d : -2620;
729e : -10432;
729f : -16159;
72a0 : -11134;
72a1 : -3325;
72a2 : 3331;
72a3 : 1866;
72a4 : -893;
72a5 : -2170;
72a6 : 1533;
72a7 : 6186;
72a8 : 5023;
72a9 : 5914;
72aa : 3275;
72ab : -1482;
72ac : -1303;
72ad : -85;
72ae : -2691;
72af : -1804;
72b0 : -1937;
72b1 : -4203;
72b2 : -2773;
72b3 : 6282;
72b4 : 8619;
72b5 : 332;
72b6 : -7077;
72b7 : -11705;
72b8 : -4196;
72b9 : 3730;
72ba : 8945;
72bb : 6454;
72bc : 523;
72bd : -3027;
72be : -3595;
72bf : 1267;
72c0 : 324;
72c1 : -74;
72c2 : 574;
72c3 : 689;
72c4 : 1520;
72c5 : 2775;
72c6 : 1820;
72c7 : 4156;
72c8 : 3282;
72c9 : -963;
72ca : -2974;
72cb : 1449;
72cc : 5948;
72cd : 1390;
72ce : -3330;
72cf : -10766;
72d0 : -7457;
72d1 : 601;
72d2 : 5493;
72d3 : 3398;
72d4 : -2787;
72d5 : -4898;
72d6 : -4630;
72d7 : 1341;
72d8 : 3329;
72d9 : 4216;
72da : 3285;
72db : 589;
72dc : -60;
72dd : 2041;
72de : 1033;
72df : 2887;
72e0 : 3214;
72e1 : -2560;
72e2 : -5429;
72e3 : -702;
72e4 : 5623;
72e5 : -27;
72e6 : -5892;
72e7 : -9171;
72e8 : -4500;
72e9 : 4475;
72ea : 9285;
72eb : 6215;
72ec : -4065;
72ed : -8341;
72ee : -8367;
72ef : -2310;
72f0 : -539;
72f1 : -847;
72f2 : 1498;
72f3 : 664;
72f4 : -358;
72f5 : 1542;
72f6 : 723;
72f7 : 897;
72f8 : 4376;
72f9 : 3528;
72fa : 737;
72fb : 2597;
72fc : 8722;
72fd : 3701;
72fe : -4657;
72ff : -10785;
7300 : -9068;
7301 : -1052;
7302 : 3987;
7303 : 4799;
7304 : -1240;
7305 : -4334;
7306 : -4824;
7307 : 1422;
7308 : 6814;
7309 : 5731;
730a : 6504;
730b : 3555;
730c : -1193;
730d : -1645;
730e : -1418;
730f : -1566;
7310 : 864;
7311 : -316;
7312 : -1604;
7313 : 1208;
7314 : 8321;
7315 : 5019;
7316 : -5713;
7317 : -12598;
7318 : -13008;
7319 : -3288;
731a : 6188;
731b : 9427;
731c : 3150;
731d : -1851;
731e : -3267;
731f : -1121;
7320 : 3962;
7321 : 2970;
7322 : 2484;
7323 : -493;
7324 : -2437;
7325 : -1010;
7326 : -583;
7327 : -2088;
7328 : 2310;
7329 : 3660;
732a : 1447;
732b : 2769;
732c : 7937;
732d : 5558;
732e : -4005;
732f : -9574;
7330 : -12738;
7331 : -7850;
7332 : -850;
7333 : 4470;
7334 : 1310;
7335 : -3595;
7336 : -4901;
7337 : -3298;
7338 : 1280;
7339 : 1394;
733a : 5018;
733b : 5205;
733c : 2448;
733d : 2386;
733e : 2390;
733f : -866;
7340 : 48;
7341 : 843;
7342 : -1883;
7343 : -1242;
7344 : 4632;
7345 : 7201;
7346 : -653;
7347 : -6705;
7348 : -9073;
7349 : -2873;
734a : 4530;
734b : 9313;
734c : 6113;
734d : -1530;
734e : -4263;
734f : -4159;
7350 : 829;
7351 : -767;
7352 : -1729;
7353 : -661;
7354 : -546;
7355 : 1031;
7356 : 2353;
7357 : -539;
7358 : -1772;
7359 : -1378;
735a : -2609;
735b : 501;
735c : 6825;
735d : 11636;
735e : 4277;
735f : -2693;
7360 : -8301;
7361 : -5987;
7362 : -52;
7363 : 2993;
7364 : 417;
7365 : -4918;
7366 : -4923;
7367 : -3757;
7368 : 1886;
7369 : 3960;
736a : 3009;
736b : 3319;
736c : 2222;
736d : 743;
736e : 95;
736f : -1753;
7370 : -1696;
7371 : 150;
7372 : -1868;
7373 : -1265;
7374 : 3816;
7375 : 10368;
7376 : 4387;
7377 : -4856;
7378 : -10239;
7379 : -9301;
737a : -2638;
737b : 4010;
737c : 5219;
737d : -1405;
737e : -3689;
737f : -4136;
7380 : -590;
7381 : 968;
7382 : -478;
7383 : 450;
7384 : 28;
7385 : 956;
7386 : 3896;
7387 : 2867;
7388 : -1031;
7389 : -103;
738a : -109;
738b : 591;
738c : 4247;
738d : 10331;
738e : 5962;
738f : -4388;
7390 : -10051;
7391 : -9523;
7392 : -3575;
7393 : 1144;
7394 : 3838;
7395 : -223;
7396 : -2327;
7397 : -1544;
7398 : 1411;
7399 : 2332;
739a : -1341;
739b : -158;
739c : 1258;
739d : 1528;
739e : 4530;
739f : 4503;
73a0 : 971;
73a1 : 542;
73a2 : -259;
73a3 : -782;
73a4 : 927;
73a5 : 5092;
73a6 : 4071;
73a7 : -4152;
73a8 : -8706;
73a9 : -9931;
73aa : -3039;
73ab : 3912;
73ac : 7643;
73ad : 3699;
73ae : -732;
73af : -2058;
73b0 : -1380;
73b1 : 1733;
73b2 : -1054;
73b3 : -1707;
73b4 : -1554;
73b5 : -790;
73b6 : 3473;
73b7 : 4481;
73b8 : -812;
73b9 : -2600;
73ba : -3074;
73bb : -3890;
73bc : 731;
73bd : 7474;
73be : 9089;
73bf : 782;
73c0 : -3973;
73c1 : -6982;
73c2 : -4391;
73c3 : 1064;
73c4 : 3448;
73c5 : -1452;
73c6 : -6393;
73c7 : -4304;
73c8 : -1041;
73c9 : 2544;
73ca : 52;
73cb : -108;
73cc : 1106;
73cd : 1714;
73ce : 4102;
73cf : 4384;
73d0 : -943;
73d1 : -3319;
73d2 : -1886;
73d3 : -2938;
73d4 : -810;
73d5 : 4094;
73d6 : 8415;
73d7 : 1932;
73d8 : -3877;
73d9 : -6671;
73da : -5078;
73db : -297;
73dc : 3768;
73dd : 3285;
73de : -1063;
73df : 393;
73e0 : 1664;
73e1 : 5127;
73e2 : 1952;
73e3 : -1547;
73e4 : -1821;
73e5 : -2065;
73e6 : -389;
73e7 : 2072;
73e8 : 412;
73e9 : -1307;
73ea : -494;
73eb : -657;
73ec : 2148;
73ed : 5985;
73ee : 10794;
73ef : 5080;
73f0 : -3614;
73f1 : -7940;
73f2 : -6695;
73f3 : -1588;
73f4 : 495;
73f5 : -1069;
73f6 : -5899;
73f7 : -4120;
73f8 : -842;
73f9 : 3377;
73fa : 2166;
73fb : -1737;
73fc : -1755;
73fd : -581;
73fe : 1309;
73ff : 3577;
7400 : 1928;
7401 : -681;
7402 : 619;
7403 : 166;
7404 : 1888;
7405 : 4304;
7406 : 7136;
7407 : 2620;
7408 : -5118;
7409 : -7501;
740a : -6980;
740b : -1984;
740c : 1640;
740d : 1749;
740e : -2189;
740f : -2557;
7410 : -1831;
7411 : 110;
7412 : 302;
7413 : -2630;
7414 : -1066;
7415 : 65;
7416 : 1539;
7417 : 5679;
7418 : 5643;
7419 : 556;
741a : -932;
741b : -2683;
741c : -3010;
741d : 478;
741e : 6784;
741f : 6445;
7420 : -1079;
7421 : -4387;
7422 : -4521;
7423 : -1095;
7424 : 2168;
7425 : 2668;
7426 : -1840;
7427 : -4916;
7428 : -2247;
7429 : 1667;
742a : 4014;
742b : -503;
742c : -347;
742d : 1167;
742e : 1695;
742f : 4912;
7430 : 5753;
7431 : 379;
7432 : -2763;
7433 : -2770;
7434 : -2629;
7435 : -736;
7436 : 3271;
7437 : 4470;
7438 : -2512;
7439 : -5353;
743a : -4855;
743b : -2144;
743c : 1181;
743d : 2245;
743e : 546;
743f : -2115;
7440 : -242;
7441 : 1271;
7442 : 3574;
7443 : -94;
7444 : -2152;
7445 : -772;
7446 : -445;
7447 : 1662;
7448 : 2471;
7449 : -676;
744a : -1661;
744b : -1356;
744c : -2217;
744d : 1068;
744e : 5641;
744f : 8869;
7450 : 3080;
7451 : -2714;
7452 : -5071;
7453 : -4202;
7454 : -549;
7455 : 335;
7456 : -2284;
7457 : -6499;
7458 : -3501;
7459 : 629;
745a : 3643;
745b : 720;
745c : -2567;
745d : -2864;
745e : -2622;
745f : 947;
7460 : 3279;
7461 : 1203;
7462 : -406;
7463 : 1639;
7464 : 738;
7465 : 2984;
7466 : 6190;
7467 : 7983;
7468 : 968;
7469 : -5598;
746a : -5669;
746b : -3194;
746c : 264;
746d : 1709;
746e : 717;
746f : -2405;
7470 : -378;
7471 : 1980;
7472 : 3370;
7473 : 145;
7474 : -3795;
7475 : -2782;
7476 : -2238;
7477 : -121;
7478 : 3050;
7479 : 2616;
747a : -191;
747b : 387;
747c : -890;
747d : -664;
747e : 1128;
747f : 5378;
7480 : 3481;
7481 : -1868;
7482 : -2752;
7483 : -1546;
7484 : 1667;
7485 : 1985;
7486 : -282;
7487 : -4518;
7488 : -4839;
7489 : -2136;
748a : 1412;
748b : 2897;
748c : -1026;
748d : -1471;
748e : -53;
748f : 1144;
7490 : 3960;
7491 : 4139;
7492 : 158;
7493 : -1071;
7494 : -1338;
7495 : -50;
7496 : 1966;
7497 : 4355;
7498 : 2443;
7499 : -3781;
749a : -4390;
749b : -3058;
749c : -981;
749d : -645;
749e : -2922;
749f : -5868;
74a0 : -5093;
74a1 : -994;
74a2 : 2533;
74a3 : 3650;
74a4 : 153;
74a5 : -890;
74a6 : 644;
74a7 : 1615;
74a8 : 3878;
74a9 : 3162;
74aa : -511;
74ab : -1302;
74ac : -263;
74ad : -375;
74ae : 1081;
74af : 3937;
74b0 : 4965;
74b1 : 342;
74b2 : -1948;
74b3 : -1566;
74b4 : -811;
74b5 : 265;
74b6 : -171;
74b7 : -3096;
74b8 : -5362;
74b9 : -1686;
74ba : 3043;
74bb : 5642;
74bc : 2217;
74bd : -581;
74be : -538;
74bf : -1856;
74c0 : 819;
74c1 : 2141;
74c2 : -86;
74c3 : -1513;
74c4 : 196;
74c5 : 185;
74c6 : 1473;
74c7 : 3983;
74c8 : 4871;
74c9 : -1315;
74ca : -5114;
74cb : -3783;
74cc : -760;
74cd : 1938;
74ce : 1077;
74cf : -357;
74d0 : -2669;
74d1 : -340;
74d2 : 2978;
74d3 : 4161;
74d4 : -641;
74d5 : -4956;
74d6 : -3458;
74d7 : -3054;
74d8 : -462;
74d9 : 1058;
74da : -1050;
74db : -2061;
74dc : 594;
74dd : 657;
74de : 1252;
74df : 2588;
74e0 : 4536;
74e1 : 1768;
74e2 : -2000;
74e3 : -1101;
74e4 : -174;
74e5 : 2136;
74e6 : 937;
74e7 : -1905;
74e8 : -5393;
74e9 : -4271;
74ea : -1388;
74eb : 786;
74ec : 209;
74ed : -2304;
74ee : -1635;
74ef : -1162;
74f0 : 1169;
74f1 : 3884;
74f2 : 3444;
74f3 : 773;
74f4 : 1128;
74f5 : 678;
74f6 : 1237;
74f7 : 3393;
74f8 : 5319;
74f9 : 1376;
74fa : -4214;
74fb : -3120;
74fc : -755;
74fd : 2311;
74fe : 1855;
74ff : -1231;
7500 : -5457;
7501 : -4554;
7502 : -697;
7503 : 2457;
7504 : 2319;
7505 : -772;
7506 : -22;
7507 : 1226;
7508 : 2691;
7509 : 4985;
750a : 2602;
750b : -1978;
750c : -2440;
750d : -952;
750e : 520;
750f : 1889;
7510 : 3735;
7511 : 2602;
7512 : -1822;
7513 : -1855;
7514 : -1157;
7515 : -144;
7516 : -608;
7517 : -2413;
7518 : -4615;
7519 : -4635;
751a : -1285;
751b : 1681;
751c : 2498;
751d : -570;
751e : -921;
751f : 70;
7520 : -505;
7521 : 840;
7522 : 1117;
7523 : -1395;
7524 : -1325;
7525 : 193;
7526 : 2031;
7527 : 4094;
7528 : 5385;
7529 : 4069;
752a : -1312;
752b : -4018;
752c : -3042;
752d : -297;
752e : 1541;
752f : -868;
7530 : -3209;
7531 : -3904;
7532 : -796;
7533 : 2757;
7534 : 3580;
7535 : -1053;
7536 : -4151;
7537 : -1908;
7538 : -672;
7539 : 1822;
753a : 1988;
753b : -1062;
753c : -1851;
753d : -28;
753e : 1691;
753f : 3382;
7540 : 4536;
7541 : 3699;
7542 : -367;
7543 : -2585;
7544 : -780;
7545 : 443;
7546 : 2047;
7547 : 287;
7548 : -1969;
7549 : -3209;
754a : 121;
754b : 2657;
754c : 2828;
754d : -460;
754e : -2621;
754f : -243;
7550 : -576;
7551 : 404;
7552 : 1238;
7553 : -904;
7554 : -1790;
7555 : 842;
7556 : 1035;
7557 : 467;
7558 : 1353;
7559 : 836;
755a : -3833;
755b : -7123;
755c : -4533;
755d : -1291;
755e : 2009;
755f : 1692;
7560 : 239;
7561 : -3185;
7562 : -3025;
7563 : -401;
7564 : 224;
7565 : -2665;
7566 : -6997;
7567 : -5080;
7568 : -3033;
7569 : 309;
756a : 2033;
756b : -1494;
756c : -5788;
756d : -7404;
756e : -7024;
756f : -4313;
7570 : -2710;
7571 : 276;
7572 : -2353;
7573 : -6730;
7574 : -251;
7575 : 58;
7576 : 8497;
7577 : 1050;
7578 : -733;
7579 : 3318;
757a : 359;
757b : 8570;
757c : 11489;
757d : 13017;
757e : 11121;
757f : 11791;
7580 : 11242;
7581 : 9280;
7582 : 8260;
7583 : 7600;
7584 : 5981;
7585 : 8195;
7586 : 10100;
7587 : 11477;
7588 : 12932;
7589 : 12280;
758a : 9927;
758b : 4360;
758c : 1728;
758d : 674;
758e : 2045;
758f : 4552;
7590 : 3876;
7591 : 2172;
7592 : 2875;
7593 : 5453;
7594 : 5759;
7595 : 1919;
7596 : -8873;
7597 : -10754;
7598 : -9191;
7599 : -7615;
759a : -5210;
759b : -9715;
759c : -11122;
759d : -10432;
759e : -9042;
759f : -6735;
75a0 : -7607;
75a1 : -6669;
75a2 : -9720;
75a3 : -14428;
75a4 : -10977;
75a5 : -9096;
75a6 : -5907;
75a7 : -5296;
75a8 : -7548;
75a9 : -9034;
75aa : -10657;
75ab : -7744;
75ac : -5017;
75ad : -4785;
75ae : -8047;
75af : -8991;
75b0 : -5252;
75b1 : -5055;
75b2 : -4088;
75b3 : -3264;
75b4 : -5496;
75b5 : -5018;
75b6 : -2812;
75b7 : -1525;
75b8 : -569;
75b9 : 382;
75ba : 702;
75bb : -3070;
75bc : -5096;
75bd : -2580;
75be : -2157;
75bf : -755;
75c0 : -2975;
75c1 : -4224;
75c2 : -3585;
75c3 : -2537;
75c4 : 2150;
75c5 : 2662;
75c6 : 385;
75c7 : -427;
75c8 : 1083;
75c9 : 2294;
75ca : 4716;
75cb : 5462;
75cc : 5094;
75cd : 5022;
75ce : 6910;
75cf : 9512;
75d0 : 9982;
75d1 : 10894;
75d2 : 9544;
75d3 : 4759;
75d4 : 3448;
75d5 : 6389;
75d6 : 8746;
75d7 : 10320;
75d8 : 7112;
75d9 : 3371;
75da : 1575;
75db : 2721;
75dc : 7729;
75dd : 9836;
75de : 8024;
75df : 5833;
75e0 : 9212;
75e1 : 10522;
75e2 : 11320;
75e3 : 11660;
75e4 : 8483;
75e5 : 5647;
75e6 : 5577;
75e7 : 6331;
75e8 : 6723;
75e9 : 7680;
75ea : 8769;
75eb : 7524;
75ec : 6030;
75ed : 7046;
75ee : 7433;
75ef : 7377;
75f0 : 5385;
75f1 : 4449;
75f2 : 1821;
75f3 : -588;
75f4 : 1586;
75f5 : 2283;
75f6 : 2502;
75f7 : 1463;
75f8 : 2723;
75f9 : 2706;
75fa : 774;
75fb : 882;
75fc : -744;
75fd : -4218;
75fe : -4126;
75ff : -2524;
7600 : -1988;
7601 : -1564;
7602 : -2455;
7603 : -5096;
7604 : -8156;
7605 : -7173;
7606 : -6401;
7607 : -5622;
7608 : -6388;
7609 : -8576;
760a : -10320;
760b : -9140;
760c : -5037;
760d : -3240;
760e : -4802;
760f : -9033;
7610 : -9338;
7611 : -6872;
7612 : -6145;
7613 : -4467;
7614 : -4912;
7615 : -5733;
7616 : -4056;
7617 : 887;
7618 : 3485;
7619 : 4834;
761a : 3142;
761b : -918;
761c : -5264;
761d : -5130;
761e : -3588;
761f : -1705;
7620 : -486;
7621 : -1689;
7622 : -2766;
7623 : -4730;
7624 : -3524;
7625 : -3052;
7626 : -3355;
7627 : -4672;
7628 : -5090;
7629 : -3105;
762a : -1888;
762b : -848;
762c : -860;
762d : -2296;
762e : -3740;
762f : -3645;
7630 : -4457;
7631 : -2472;
7632 : -779;
7633 : -1494;
7634 : -4453;
7635 : -5399;
7636 : -4602;
7637 : -4375;
7638 : -5499;
7639 : -7886;
763a : -11096;
763b : -14003;
763c : -11027;
763d : -7840;
763e : -6651;
763f : -7756;
7640 : -7804;
7641 : -5016;
7642 : -2543;
7643 : -974;
7644 : -1790;
7645 : -2986;
7646 : -3112;
7647 : 195;
7648 : 4058;
7649 : 5184;
764a : 7065;
764b : 7599;
764c : 4937;
764d : 4999;
764e : 7542;
764f : 8752;
7650 : 7877;
7651 : 6448;
7652 : 5566;
7653 : 5032;
7654 : 6246;
7655 : 7763;
7656 : 7030;
7657 : 6306;
7658 : 5001;
7659 : 8062;
765a : 9296;
765b : 9041;
765c : 10690;
765d : 9134;
765e : 7973;
765f : 9149;
7660 : 10361;
7661 : 9678;
7662 : 9025;
7663 : 7932;
7664 : 5847;
7665 : 3488;
7666 : 4218;
7667 : 4397;
7668 : 4938;
7669 : 3819;
766a : 670;
766b : -1509;
766c : -2441;
766d : -802;
766e : -454;
766f : -1328;
7670 : -4513;
7671 : -4574;
7672 : -3897;
7673 : -3030;
7674 : -3863;
7675 : -6250;
7676 : -9188;
7677 : -9423;
7678 : -6046;
7679 : -4578;
767a : -2933;
767b : -4764;
767c : -7488;
767d : -8664;
767e : -6931;
767f : -6670;
7680 : -7387;
7681 : -7646;
7682 : -7360;
7683 : -7103;
7684 : -5877;
7685 : -2465;
7686 : -3560;
7687 : -3124;
7688 : -5103;
7689 : -5408;
768a : -4445;
768b : -4473;
768c : -2940;
768d : -1864;
768e : -2483;
768f : -458;
7690 : 2019;
7691 : 2513;
7692 : 2269;
7693 : 2396;
7694 : 1988;
7695 : 23;
7696 : 1424;
7697 : 1362;
7698 : 2303;
7699 : 3717;
769a : 3065;
769b : 2092;
769c : 681;
769d : 1537;
769e : 1211;
769f : 225;
76a0 : -1243;
76a1 : -1506;
76a2 : 274;
76a3 : 1477;
76a4 : 1282;
76a5 : 1700;
76a6 : -155;
76a7 : -702;
76a8 : 1503;
76a9 : 3153;
76aa : 4695;
76ab : 5630;
76ac : 5189;
76ad : 4383;
76ae : 5168;
76af : 6848;
76b0 : 8063;
76b1 : 6255;
76b2 : 3085;
76b3 : 1097;
76b4 : 506;
76b5 : 1923;
76b6 : 2375;
76b7 : 1872;
76b8 : 838;
76b9 : 650;
76ba : 4144;
76bb : 5709;
76bc : 5442;
76bd : 4083;
76be : 1926;
76bf : 451;
76c0 : 1358;
76c1 : 3003;
76c2 : 3130;
76c3 : 4136;
76c4 : 4213;
76c5 : 3693;
76c6 : 2800;
76c7 : 1737;
76c8 : 1227;
76c9 : 780;
76ca : -1080;
76cb : -1728;
76cc : -2059;
76cd : -715;
76ce : 2018;
76cf : 1461;
76d0 : 1293;
76d1 : -1200;
76d2 : -518;
76d3 : -425;
76d4 : -228;
76d5 : 774;
76d6 : -1642;
76d7 : -3673;
76d8 : -3024;
76d9 : -1430;
76da : -1236;
76db : -1050;
76dc : -1039;
76dd : -2202;
76de : -3214;
76df : -2495;
76e0 : -2733;
76e1 : -3066;
76e2 : -3474;
76e3 : -3408;
76e4 : -1672;
76e5 : 129;
76e6 : 1941;
76e7 : 627;
76e8 : -579;
76e9 : -2649;
76ea : -1018;
76eb : 569;
76ec : 1091;
76ed : 2170;
76ee : 1832;
76ef : -37;
76f0 : 869;
76f1 : 3191;
76f2 : 2340;
76f3 : 27;
76f4 : 71;
76f5 : 147;
76f6 : 325;
76f7 : 3094;
76f8 : 2464;
76f9 : 743;
76fa : -192;
76fb : -679;
76fc : -2;
76fd : 445;
76fe : 2923;
76ff : 2006;
7700 : 944;
7701 : -1031;
7702 : -2001;
7703 : -1582;
7704 : -2787;
7705 : -2056;
7706 : -570;
7707 : -1407;
7708 : -2258;
7709 : -364;
770a : -120;
770b : -961;
770c : -1526;
770d : -2744;
770e : -3806;
770f : -2442;
7710 : -1807;
7711 : -2685;
7712 : -4770;
7713 : -6467;
7714 : -6378;
7715 : -4930;
7716 : -2257;
7717 : -2317;
7718 : -3448;
7719 : -5512;
771a : -6271;
771b : -5053;
771c : -4710;
771d : -3378;
771e : -1909;
771f : -554;
7720 : 429;
7721 : 1757;
7722 : 1264;
7723 : 372;
7724 : 240;
7725 : 1054;
7726 : 2841;
7727 : 5507;
7728 : 6848;
7729 : 7169;
772a : 5550;
772b : 2366;
772c : 1078;
772d : -273;
772e : 1236;
772f : 3004;
7730 : 3465;
7731 : 4381;
7732 : 3470;
7733 : 4381;
7734 : 3896;
7735 : 4511;
7736 : 5314;
7737 : 5412;
7738 : 5264;
7739 : 5533;
773a : 5508;
773b : 4054;
773c : 3204;
773d : 2905;
773e : 2921;
773f : 3573;
7740 : 4326;
7741 : 3594;
7742 : 2490;
7743 : -380;
7744 : -3140;
7745 : -4519;
7746 : -4353;
7747 : -2832;
7748 : -2816;
7749 : -2365;
774a : -4198;
774b : -4176;
774c : -4179;
774d : -5151;
774e : -4739;
774f : -4198;
7750 : -4965;
7751 : -2941;
7752 : -393;
7753 : -1883;
7754 : -3972;
7755 : -4979;
7756 : -4189;
7757 : -2251;
7758 : -655;
7759 : -618;
775a : -1744;
775b : -2784;
775c : -3606;
775d : -3829;
775e : -2365;
775f : -128;
7760 : 906;
7761 : 1598;
7762 : 300;
7763 : 678;
7764 : 386;
7765 : -788;
7766 : -175;
7767 : 920;
7768 : -128;
7769 : 447;
776a : 3852;
776b : 3960;
776c : 2838;
776d : 1846;
776e : 539;
776f : 747;
7770 : 2895;
7771 : 3769;
7772 : 3279;
7773 : 1495;
7774 : 307;
7775 : -577;
7776 : -258;
7777 : 2823;
7778 : 3745;
7779 : 3674;
777a : 1986;
777b : 822;
777c : 440;
777d : -1943;
777e : -1920;
777f : -1269;
7780 : -1470;
7781 : -895;
7782 : 1043;
7783 : 1248;
7784 : -439;
7785 : -2118;
7786 : -2828;
7787 : -2235;
7788 : -405;
7789 : 2233;
778a : 2389;
778b : 1191;
778c : 486;
778d : -1472;
778e : -3112;
778f : -2857;
7790 : -2188;
7791 : -1554;
7792 : -936;
7793 : 691;
7794 : 1366;
7795 : -485;
7796 : -1004;
7797 : -486;
7798 : -459;
7799 : -88;
779a : 1971;
779b : 2726;
779c : 1278;
779d : 630;
779e : -180;
779f : -1060;
77a0 : 816;
77a1 : 2697;
77a2 : 3056;
77a3 : 2668;
77a4 : 1878;
77a5 : 651;
77a6 : -751;
77a7 : -395;
77a8 : -245;
77a9 : 57;
77aa : 156;
77ab : -905;
77ac : 302;
77ad : -1081;
77ae : -1432;
77af : -810;
77b0 : -1581;
77b1 : -1383;
77b2 : 545;
77b3 : 2020;
77b4 : 231;
77b5 : -1454;
77b6 : -2568;
77b7 : -2566;
77b8 : -1131;
77b9 : 631;
77ba : 243;
77bb : 188;
77bc : 128;
77bd : -1922;
77be : -2986;
77bf : -2873;
77c0 : -2503;
77c1 : -1311;
77c2 : 190;
77c3 : 949;
77c4 : 2026;
77c5 : 1355;
77c6 : 288;
77c7 : 97;
77c8 : -162;
77c9 : -388;
77ca : 1620;
77cb : 4712;
77cc : 5322;
77cd : 3890;
77ce : 2399;
77cf : 786;
77d0 : 723;
77d1 : 2658;
77d2 : 2741;
77d3 : 3422;
77d4 : 3157;
77d5 : 2457;
77d6 : 609;
77d7 : 393;
77d8 : 860;
77d9 : 941;
77da : 2358;
77db : 1879;
77dc : 2034;
77dd : 987;
77de : -254;
77df : -600;
77e0 : -1672;
77e1 : -2965;
77e2 : -2315;
77e3 : 277;
77e4 : 2151;
77e5 : 1435;
77e6 : -775;
77e7 : -2782;
77e8 : -4662;
77e9 : -4299;
77ea : -3722;
77eb : -3444;
77ec : -2801;
77ed : -3741;
77ee : -5255;
77ef : -5777;
77f0 : -6031;
77f1 : -5865;
77f2 : -4776;
77f3 : -3524;
77f4 : -2078;
77f5 : -947;
77f6 : -2014;
77f7 : -2404;
77f8 : -2662;
77f9 : -2946;
77fa : -2627;
77fb : -589;
77fc : 1195;
77fd : 701;
77fe : 436;
77ff : 89;
7800 : 103;
7801 : 1398;
7802 : 2704;
7803 : 3132;
7804 : 3589;
7805 : 3120;
7806 : 2375;
7807 : 1358;
7808 : 1035;
7809 : 826;
780a : 1206;
780b : 1200;
780c : 2192;
780d : 5192;
780e : 3678;
780f : 2762;
7810 : 1917;
7811 : 393;
7812 : 620;
7813 : 3442;
7814 : 5863;
7815 : 4680;
7816 : 3028;
7817 : 1991;
7818 : 1189;
7819 : 1535;
781a : 3041;
781b : 3008;
781c : 4227;
781d : 3333;
781e : 1207;
781f : -843;
7820 : -1968;
7821 : -2138;
7822 : -2106;
7823 : -1742;
7824 : -1702;
7825 : 459;
7826 : -141;
7827 : -1244;
7828 : -2068;
7829 : -3760;
782a : -4765;
782b : -2144;
782c : 1002;
782d : 1755;
782e : 1426;
782f : 625;
7830 : -347;
7831 : -1358;
7832 : -787;
7833 : -1377;
7834 : -445;
7835 : 1189;
7836 : 1471;
7837 : -13;
7838 : -751;
7839 : -1632;
783a : -1752;
783b : -1906;
783c : -1736;
783d : -267;
783e : -775;
783f : -776;
7840 : -797;
7841 : -1799;
7842 : -2793;
7843 : -1709;
7844 : 1081;
7845 : 2214;
7846 : 963;
7847 : 1011;
7848 : 1252;
7849 : 1309;
784a : 2067;
784b : 1428;
784c : 1072;
784d : 1235;
784e : 976;
784f : -1000;
7850 : -1818;
7851 : -1720;
7852 : -2192;
7853 : -1812;
7854 : -1339;
7855 : -9;
7856 : 177;
7857 : -902;
7858 : -1229;
7859 : -2715;
785a : -4764;
785b : -4737;
785c : -2890;
785d : -872;
785e : -584;
785f : -743;
7860 : -1435;
7861 : -2054;
7862 : -1100;
7863 : -678;
7864 : -848;
7865 : 948;
7866 : 752;
7867 : 436;
7868 : 368;
7869 : -133;
786a : -212;
786b : -357;
786c : -278;
786d : 162;
786e : 1410;
786f : 677;
7870 : 1254;
7871 : 1142;
7872 : 162;
7873 : -48;
7874 : 1306;
7875 : 2788;
7876 : 2318;
7877 : 1861;
7878 : 1105;
7879 : 758;
787a : 1202;
787b : 1399;
787c : 1253;
787d : 2776;
787e : 3066;
787f : 2320;
7880 : 1546;
7881 : 1266;
7882 : 414;
7883 : 177;
7884 : -695;
7885 : -186;
7886 : 2136;
7887 : 2360;
7888 : 3113;
7889 : 2186;
788a : -149;
788b : -1600;
788c : -700;
788d : 559;
788e : 782;
788f : 22;
7890 : -668;
7891 : -1299;
7892 : -965;
7893 : -958;
7894 : -2438;
7895 : -2123;
7896 : -1786;
7897 : -1346;
7898 : -1233;
7899 : -187;
789a : 383;
789b : 359;
789c : 22;
789d : -524;
789e : 104;
789f : -187;
78a0 : -160;
78a1 : -501;
78a2 : -1182;
78a3 : -1583;
78a4 : -309;
78a5 : 2043;
78a6 : 2518;
78a7 : 1129;
78a8 : 1568;
78a9 : 1772;
78aa : 1683;
78ab : 1960;
78ac : 1239;
78ad : 923;
78ae : 1733;
78af : 2411;
78b0 : 1085;
78b1 : 790;
78b2 : 50;
78b3 : -100;
78b4 : 694;
78b5 : 1018;
78b6 : 1637;
78b7 : 315;
78b8 : -1294;
78b9 : -3050;
78ba : -6047;
78bb : -7508;
78bc : -5079;
78bd : 308;
78be : 4553;
78bf : 5206;
78c0 : 3831;
78c1 : 937;
78c2 : -631;
78c3 : 210;
78c4 : -439;
78c5 : -1056;
78c6 : -720;
78c7 : -1392;
78c8 : -3618;
78c9 : -5731;
78ca : -6805;
78cb : -7334;
78cc : -6969;
78cd : -5358;
78ce : -2031;
78cf : 762;
78d0 : 1536;
78d1 : 1253;
78d2 : -1531;
78d3 : -5084;
78d4 : -5175;
78d5 : -983;
78d6 : 2628;
78d7 : 4167;
78d8 : 5031;
78d9 : 4124;
78da : 874;
78db : -3179;
78dc : -5362;
78dd : -4568;
78de : 143;
78df : 5726;
78e0 : 7273;
78e1 : 5369;
78e2 : 2393;
78e3 : 330;
78e4 : -995;
78e5 : -2445;
78e6 : -707;
78e7 : 2363;
78e8 : 2573;
78e9 : 3201;
78ea : 3044;
78eb : 979;
78ec : -479;
78ed : 373;
78ee : 2139;
78ef : 2617;
78f0 : 4248;
78f1 : 4705;
78f2 : 2782;
78f3 : 855;
78f4 : -995;
78f5 : -565;
78f6 : 2746;
78f7 : 5181;
78f8 : 5573;
78f9 : 4097;
78fa : 1624;
78fb : -3273;
78fc : -7447;
78fd : -9731;
78fe : -8520;
78ff : -2412;
7900 : 3235;
7901 : 5025;
7902 : 3371;
7903 : 571;
7904 : -1419;
7905 : -1539;
7906 : -909;
7907 : -255;
7908 : -115;
7909 : -130;
790a : -242;
790b : -850;
790c : -1832;
790d : -2896;
790e : -2122;
790f : -1122;
7910 : 343;
7911 : 1257;
7912 : 2070;
7913 : 1036;
7914 : -1258;
7915 : -2195;
7916 : -558;
7917 : 2592;
7918 : 4314;
7919 : 5460;
791a : 4586;
791b : -680;
791c : -6439;
791d : -9055;
791e : -7808;
791f : -3358;
7920 : 3293;
7921 : 7239;
7922 : 6352;
7923 : 4411;
7924 : 3301;
7925 : 1372;
7926 : -346;
7927 : -418;
7928 : 489;
7929 : 216;
792a : 1260;
792b : 1914;
792c : 419;
792d : -1123;
792e : -2716;
792f : -3518;
7930 : -3457;
7931 : -1158;
7932 : 2153;
7933 : 1974;
7934 : -1273;
7935 : -3318;
7936 : -2833;
7937 : -1710;
7938 : -1089;
7939 : 217;
793a : 1069;
793b : 530;
793c : -1570;
793d : -4952;
793e : -7138;
793f : -5685;
7940 : -1439;
7941 : 3004;
7942 : 4101;
7943 : 2669;
7944 : 1018;
7945 : 787;
7946 : 656;
7947 : 746;
7948 : 940;
7949 : 50;
794a : 136;
794b : -175;
794c : -1707;
794d : -1842;
794e : -991;
794f : -833;
7950 : -925;
7951 : 344;
7952 : 2528;
7953 : 4622;
7954 : 5258;
7955 : 3117;
7956 : 551;
7957 : 1138;
7958 : 2309;
7959 : 2559;
795a : 3835;
795b : 4751;
795c : 1806;
795d : -2391;
795e : -6533;
795f : -8495;
7960 : -6206;
7961 : -1165;
7962 : 2831;
7963 : 2947;
7964 : 276;
7965 : -490;
7966 : 1047;
7967 : 1774;
7968 : 1261;
7969 : 1349;
796a : 476;
796b : -1033;
796c : -1492;
796d : -2153;
796e : -3484;
796f : -3316;
7970 : -3213;
7971 : -3502;
7972 : -2379;
7973 : 744;
7974 : 2242;
7975 : 916;
7976 : -1757;
7977 : -2711;
7978 : -919;
7979 : 995;
797a : 3943;
797b : 6767;
797c : 4775;
797d : 364;
797e : -3492;
797f : -6795;
7980 : -7118;
7981 : -2815;
7982 : 3201;
7983 : 5969;
7984 : 5136;
7985 : 3675;
7986 : 2877;
7987 : 2201;
7988 : 1372;
7989 : 1054;
798a : 415;
798b : 39;
798c : 1017;
798d : 1553;
798e : 609;
798f : -363;
7990 : -570;
7991 : -1029;
7992 : 154;
7993 : 3190;
7994 : 4109;
7995 : 2925;
7996 : 749;
7997 : -281;
7998 : -675;
7999 : -665;
799a : 930;
799b : 3077;
799c : 4353;
799d : 2654;
799e : -1678;
799f : -6385;
79a0 : -9585;
79a1 : -9168;
79a2 : -4991;
79a3 : 131;
79a4 : 2620;
79a5 : 1111;
79a6 : -240;
79a7 : -1245;
79a8 : -2642;
79a9 : -2667;
79aa : -1515;
79ab : -68;
79ac : 108;
79ad : -1465;
79ae : -1699;
79af : -2072;
79b0 : -2758;
79b1 : -3035;
79b2 : -3616;
79b3 : -2443;
79b4 : 848;
79b5 : 4029;
79b6 : 4240;
79b7 : 1961;
79b8 : 1452;
79b9 : 962;
79ba : 171;
79bb : 2021;
79bc : 4886;
79bd : 5459;
79be : 3397;
79bf : -1182;
79c0 : -5211;
79c1 : -7699;
79c2 : -5809;
79c3 : -132;
79c4 : 3102;
79c5 : 2540;
79c6 : 2393;
79c7 : 4185;
79c8 : 3885;
79c9 : 1949;
79ca : 1549;
79cb : 1646;
79cc : 1989;
79cd : 3426;
79ce : 3523;
79cf : 2533;
79d0 : 981;
79d1 : -490;
79d2 : -2762;
79d3 : -4099;
79d4 : -1350;
79d5 : 740;
79d6 : 1239;
79d7 : -352;
79d8 : -1774;
79d9 : -1834;
79da : -1913;
79db : -878;
79dc : 642;
79dd : 395;
79de : 0;
79df : -1173;
79e0 : -3955;
79e1 : -6686;
79e2 : -7294;
79e3 : -4560;
79e4 : -799;
79e5 : 1562;
79e6 : 2015;
79e7 : 2167;
79e8 : 3156;
79e9 : 2746;
79ea : 1170;
79eb : 483;
79ec : 1032;
79ed : 1532;
79ee : 1433;
79ef : 734;
79f0 : -1075;
79f1 : -2627;
79f2 : -3619;
79f3 : -3732;
79f4 : -1710;
79f5 : 399;
79f6 : 2232;
79f7 : 3467;
79f8 : 2893;
79f9 : 1811;
79fa : 1790;
79fb : 1585;
79fc : 2361;
79fd : 4122;
79fe : 4551;
79ff : 2712;
7a00 : -805;
7a01 : -3714;
7a02 : -5843;
7a03 : -5440;
7a04 : -1980;
7a05 : 1350;
7a06 : 1490;
7a07 : 1290;
7a08 : 1557;
7a09 : 1708;
7a0a : 636;
7a0b : 179;
7a0c : 1223;
7a0d : -420;
7a0e : -1854;
7a0f : -1678;
7a10 : -1587;
7a11 : -2528;
7a12 : -2732;
7a13 : -3001;
7a14 : -3266;
7a15 : -1219;
7a16 : 2278;
7a17 : 3161;
7a18 : 899;
7a19 : -1092;
7a1a : -1601;
7a1b : -1245;
7a1c : 64;
7a1d : 3400;
7a1e : 4902;
7a1f : 4070;
7a20 : 552;
7a21 : -2716;
7a22 : -5403;
7a23 : -5603;
7a24 : -1873;
7a25 : 1708;
7a26 : 1681;
7a27 : 1221;
7a28 : 1939;
7a29 : 1994;
7a2a : 1355;
7a2b : 1077;
7a2c : 1590;
7a2d : 2113;
7a2e : 3371;
7a2f : 4203;
7a30 : 3657;
7a31 : 1398;
7a32 : -597;
7a33 : -2369;
7a34 : -3299;
7a35 : -1062;
7a36 : 1158;
7a37 : 2217;
7a38 : 1265;
7a39 : -592;
7a3a : -1042;
7a3b : -64;
7a3c : 2067;
7a3d : 3813;
7a3e : 3632;
7a3f : 3409;
7a40 : 1355;
7a41 : -2562;
7a42 : -6467;
7a43 : -8726;
7a44 : -8156;
7a45 : -4740;
7a46 : -442;
7a47 : 594;
7a48 : -495;
7a49 : -431;
7a4a : 6;
7a4b : -1022;
7a4c : -723;
7a4d : 1747;
7a4e : 2476;
7a4f : 1920;
7a50 : 954;
7a51 : -1204;
7a52 : -3404;
7a53 : -3891;
7a54 : -3336;
7a55 : -2751;
7a56 : -2025;
7a57 : 820;
7a58 : 3116;
7a59 : 2121;
7a5a : -48;
7a5b : 225;
7a5c : 955;
7a5d : 2381;
7a5e : 5732;
7a5f : 6846;
7a60 : 5215;
7a61 : 2074;
7a62 : -888;
7a63 : -3994;
7a64 : -4985;
7a65 : -1532;
7a66 : 2196;
7a67 : 2796;
7a68 : 1744;
7a69 : 508;
7a6a : 1003;
7a6b : 1322;
7a6c : 1439;
7a6d : 2500;
7a6e : 1723;
7a6f : 1865;
7a70 : 2821;
7a71 : 2225;
7a72 : 92;
7a73 : -1654;
7a74 : -2598;
7a75 : -2908;
7a76 : -903;
7a77 : 1319;
7a78 : 1722;
7a79 : 111;
7a7a : -2714;
7a7b : -3980;
7a7c : -3176;
7a7d : -1085;
7a7e : 1527;
7a7f : 2855;
7a80 : 2449;
7a81 : -780;
7a82 : -4451;
7a83 : -6902;
7a84 : -7861;
7a85 : -6259;
7a86 : -3983;
7a87 : -1698;
7a88 : -122;
7a89 : -233;
7a8a : -288;
7a8b : 347;
7a8c : 393;
7a8d : 1014;
7a8e : 2335;
7a8f : 3505;
7a90 : 3746;
7a91 : 2676;
7a92 : 601;
7a93 : -2407;
7a94 : -3543;
7a95 : -2795;
7a96 : -1243;
7a97 : 196;
7a98 : 1936;
7a99 : 2220;
7a9a : 655;
7a9b : -392;
7a9c : 698;
7a9d : 2832;
7a9e : 4328;
7a9f : 5110;
7aa0 : 5837;
7aa1 : 5488;
7aa2 : 1873;
7aa3 : -2288;
7aa4 : -4438;
7aa5 : -4983;
7aa6 : -2529;
7aa7 : 1183;
7aa8 : 2909;
7aa9 : 1353;
7aaa : -774;
7aab : -40;
7aac : 450;
7aad : 714;
7aae : 2728;
7aaf : 3045;
7ab0 : 2575;
7ab1 : 1177;
7ab2 : -722;
7ab3 : -2514;
7ab4 : -4205;
7ab5 : -3656;
7ab6 : -3639;
7ab7 : -4107;
7ab8 : -1732;
7ab9 : 1301;
7aba : 1023;
7abb : -2219;
7abc : -3856;
7abd : -2586;
7abe : -754;
7abf : 2596;
7ac0 : 5733;
7ac1 : 6011;
7ac2 : 3415;
7ac3 : -531;
7ac4 : -3991;
7ac5 : -6136;
7ac6 : -4848;
7ac7 : -1787;
7ac8 : 462;
7ac9 : 519;
7aca : -724;
7acb : -396;
7acc : 345;
7acd : 661;
7ace : 1266;
7acf : 415;
7ad0 : 953;
7ad1 : 2787;
7ad2 : 2654;
7ad3 : 780;
7ad4 : -1133;
7ad5 : -2423;
7ad6 : -2541;
7ad7 : -732;
7ad8 : 1731;
7ad9 : 2917;
7ada : 2454;
7adb : 576;
7adc : -1595;
7add : -1111;
7ade : 1341;
7adf : 3038;
7ae0 : 4505;
7ae1 : 5192;
7ae2 : 3725;
7ae3 : 733;
7ae4 : -2508;
7ae5 : -4519;
7ae6 : -5981;
7ae7 : -6467;
7ae8 : -3795;
7ae9 : -215;
7aea : -33;
7aeb : -1517;
7aec : -1608;
7aed : -1896;
7aee : -2055;
7aef : 215;
7af0 : 2871;
7af1 : 3960;
7af2 : 3259;
7af3 : 1843;
7af4 : -563;
7af5 : -2625;
7af6 : -2135;
7af7 : -1858;
7af8 : -1959;
7af9 : -225;
7afa : 1228;
7afb : 913;
7afc : -603;
7afd : -771;
7afe : 727;
7aff : 1294;
7b00 : 2577;
7b01 : 5338;
7b02 : 6513;
7b03 : 4749;
7b04 : 1622;
7b05 : -1462;
7b06 : -3825;
7b07 : -3629;
7b08 : -396;
7b09 : 2210;
7b0a : 1846;
7b0b : 263;
7b0c : -447;
7b0d : 159;
7b0e : 1579;
7b0f : 2369;
7b10 : 2594;
7b11 : 3372;
7b12 : 3165;
7b13 : 1928;
7b14 : 47;
7b15 : -1915;
7b16 : -2621;
7b17 : -3407;
7b18 : -3772;
7b19 : -1016;
7b1a : 1401;
7b1b : 1634;
7b1c : -683;
7b1d : -3728;
7b1e : -4204;
7b1f : -2560;
7b20 : -267;
7b21 : 1868;
7b22 : 2850;
7b23 : 1957;
7b24 : -993;
7b25 : -3523;
7b26 : -4820;
7b27 : -5695;
7b28 : -5704;
7b29 : -3897;
7b2a : -1801;
7b2b : -1624;
7b2c : -1395;
7b2d : 204;
7b2e : 1896;
7b2f : 1622;
7b30 : 1164;
7b31 : 1975;
7b32 : 3072;
7b33 : 2759;
7b34 : 1487;
7b35 : -959;
7b36 : -2778;
7b37 : -2242;
7b38 : -731;
7b39 : 1334;
7b3a : 2114;
7b3b : 1802;
7b3c : 704;
7b3d : -1207;
7b3e : -1066;
7b3f : 1868;
7b40 : 3838;
7b41 : 4835;
7b42 : 5256;
7b43 : 4413;
7b44 : 3227;
7b45 : 913;
7b46 : -141;
7b47 : -1760;
7b48 : -4476;
7b49 : -2197;
7b4a : 2625;
7b4b : 3406;
7b4c : 158;
7b4d : -1139;
7b4e : -2673;
7b4f : -5538;
7b50 : -4783;
7b51 : -1455;
7b52 : 2443;
7b53 : 5201;
7b54 : 5534;
7b55 : 2810;
7b56 : -1390;
7b57 : -1786;
7b58 : -1058;
7b59 : -294;
7b5a : 709;
7b5b : 1162;
7b5c : 1259;
7b5d : -1789;
7b5e : -4178;
7b5f : -4259;
7b60 : -4752;
7b61 : -4001;
7b62 : 640;
7b63 : 2991;
7b64 : 4185;
7b65 : 2740;
7b66 : -1229;
7b67 : -2122;
7b68 : -4745;
7b69 : -4302;
7b6a : -3333;
7b6b : -2854;
7b6c : -2315;
7b6d : -3431;
7b6e : -6732;
7b6f : -4158;
7b70 : -4744;
7b71 : -20;
7b72 : 3809;
7b73 : 8619;
7b74 : 10315;
7b75 : 9079;
7b76 : 4845;
7b77 : -4644;
7b78 : -13988;
7b79 : -12297;
7b7a : -7902;
7b7b : 2456;
7b7c : 6774;
7b7d : 6712;
7b7e : 4252;
7b7f : 4128;
7b80 : 6440;
7b81 : 9066;
7b82 : 12733;
7b83 : 14386;
7b84 : 13887;
7b85 : 10861;
7b86 : 5420;
7b87 : 98;
7b88 : -5102;
7b89 : -9800;
7b8a : -10154;
7b8b : -6423;
7b8c : -4862;
7b8d : -459;
7b8e : 694;
7b8f : 3075;
7b90 : 1;
7b91 : -1039;
7b92 : 1135;
7b93 : 1597;
7b94 : 1587;
7b95 : -1011;
7b96 : -5683;
7b97 : -9401;
7b98 : -10162;
7b99 : -9294;
7b9a : -5213;
7b9b : -288;
7b9c : 2770;
7b9d : 2722;
7b9e : 2570;
7b9f : -2508;
7ba0 : -7292;
7ba1 : -8233;
7ba2 : -5797;
7ba3 : -3676;
7ba4 : -948;
7ba5 : 13;
7ba6 : 1033;
7ba7 : 930;
7ba8 : 409;
7ba9 : -747;
7baa : 2656;
7bab : 7023;
7bac : 8783;
7bad : 8513;
7bae : 5108;
7baf : 3772;
7bb0 : 2918;
7bb1 : -350;
7bb2 : -1718;
7bb3 : -3171;
7bb4 : -93;
7bb5 : 3669;
7bb6 : 6610;
7bb7 : 10069;
7bb8 : 6933;
7bb9 : 3464;
7bba : 2698;
7bbb : 191;
7bbc : -949;
7bbd : -2082;
7bbe : -3185;
7bbf : -7898;
7bc0 : -10115;
7bc1 : -9690;
7bc2 : -7400;
7bc3 : -670;
7bc4 : 5285;
7bc5 : 7599;
7bc6 : 8273;
7bc7 : 6164;
7bc8 : -1591;
7bc9 : -7911;
7bca : -11456;
7bcb : -13443;
7bcc : -9960;
7bcd : -5299;
7bce : -3978;
7bcf : -2476;
7bd0 : 148;
7bd1 : 1284;
7bd2 : 2632;
7bd3 : 4184;
7bd4 : 5643;
7bd5 : 8871;
7bd6 : 9693;
7bd7 : 7500;
7bd8 : 4031;
7bd9 : -2017;
7bda : -5377;
7bdb : -2819;
7bdc : -1486;
7bdd : 245;
7bde : 1338;
7bdf : 1959;
7be0 : 2647;
7be1 : 1212;
7be2 : 3591;
7be3 : 5086;
7be4 : 6121;
7be5 : 6100;
7be6 : 4250;
7be7 : -941;
7be8 : -2876;
7be9 : -3504;
7bea : -6211;
7beb : -4298;
7bec : -473;
7bed : 1914;
7bee : 4624;
7bef : 7495;
7bf0 : 4691;
7bf1 : -2399;
7bf2 : -5497;
7bf3 : -7199;
7bf4 : -4370;
7bf5 : -2205;
7bf6 : -1320;
7bf7 : -1086;
7bf8 : -1070;
7bf9 : -2174;
7bfa : -1207;
7bfb : -894;
7bfc : -594;
7bfd : 2401;
7bfe : 1954;
7bff : 1411;
7c00 : -214;
7c01 : -3857;
7c02 : -4744;
7c03 : -4136;
7c04 : -3565;
7c05 : -2191;
7c06 : 510;
7c07 : 1581;
7c08 : 2967;
7c09 : 1241;
7c0a : -1406;
7c0b : -2037;
7c0c : -513;
7c0d : -297;
7c0e : -245;
7c0f : -3800;
7c10 : -5832;
7c11 : -2596;
7c12 : -685;
7c13 : -43;
7c14 : 3241;
7c15 : 6487;
7c16 : 8560;
7c17 : 11180;
7c18 : 11569;
7c19 : 7353;
7c1a : -1238;
7c1b : -6259;
7c1c : -8989;
7c1d : -7676;
7c1e : -3293;
7c1f : -354;
7c20 : 990;
7c21 : -1667;
7c22 : -2498;
7c23 : 247;
7c24 : 2876;
7c25 : 6956;
7c26 : 7404;
7c27 : 6231;
7c28 : 3649;
7c29 : 1226;
7c2a : -1066;
7c2b : -2852;
7c2c : -5950;
7c2d : -5015;
7c2e : -3680;
7c2f : -2550;
7c30 : 236;
7c31 : 3227;
7c32 : 1628;
7c33 : -195;
7c34 : -2599;
7c35 : -4156;
7c36 : -2692;
7c37 : -1103;
7c38 : -2948;
7c39 : -2339;
7c3a : -3295;
7c3b : -3936;
7c3c : -725;
7c3d : 1867;
7c3e : 1515;
7c3f : 3553;
7c40 : 5204;
7c41 : 2123;
7c42 : -1487;
7c43 : -4156;
7c44 : -5296;
7c45 : -5486;
7c46 : -2211;
7c47 : 1354;
7c48 : 4325;
7c49 : 5085;
7c4a : 3385;
7c4b : 872;
7c4c : -359;
7c4d : 949;
7c4e : 2386;
7c4f : 3311;
7c50 : 1483;
7c51 : 885;
7c52 : -1226;
7c53 : -2122;
7c54 : -1189;
7c55 : -543;
7c56 : -395;
7c57 : 68;
7c58 : 2323;
7c59 : 5066;
7c5a : 4425;
7c5b : 4080;
7c5c : 829;
7c5d : -1082;
7c5e : -2067;
7c5f : -1722;
7c60 : -2517;
7c61 : -3597;
7c62 : -1145;
7c63 : -1694;
7c64 : 418;
7c65 : 2816;
7c66 : 3767;
7c67 : 5274;
7c68 : 7567;
7c69 : 8047;
7c6a : 3169;
7c6b : -2122;
7c6c : -5775;
7c6d : -8596;
7c6e : -8284;
7c6f : -5853;
7c70 : -4678;
7c71 : -2646;
7c72 : -1432;
7c73 : 1339;
7c74 : 2618;
7c75 : 2582;
7c76 : 4065;
7c77 : 4498;
7c78 : 3008;
7c79 : 3612;
7c7a : 2084;
7c7b : -1328;
7c7c : -2613;
7c7d : -4119;
7c7e : -3848;
7c7f : -2367;
7c80 : 676;
7c81 : 3165;
7c82 : 1463;
7c83 : -1300;
7c84 : -311;
7c85 : 1481;
7c86 : 340;
7c87 : 1693;
7c88 : -234;
7c89 : -3330;
7c8a : -898;
7c8b : -404;
7c8c : -1464;
7c8d : -724;
7c8e : 505;
7c8f : 605;
7c90 : 4880;
7c91 : 7266;
7c92 : 6139;
7c93 : 2451;
7c94 : -2505;
7c95 : -6843;
7c96 : -8395;
7c97 : -5024;
7c98 : -1064;
7c99 : 2614;
7c9a : 2454;
7c9b : -987;
7c9c : -1631;
7c9d : -405;
7c9e : 1248;
7c9f : 2325;
7ca0 : 1258;
7ca1 : 175;
7ca2 : -1289;
7ca3 : -3393;
7ca4 : -2212;
7ca5 : -1584;
7ca6 : -459;
7ca7 : 572;
7ca8 : 599;
7ca9 : 3470;
7caa : 5066;
7cab : 3173;
7cac : 1914;
7cad : -1491;
7cae : -4471;
7caf : -2629;
7cb0 : -874;
7cb1 : -2887;
7cb2 : -2557;
7cb3 : -1768;
7cb4 : -2380;
7cb5 : 151;
7cb6 : 2403;
7cb7 : 2260;
7cb8 : 3097;
7cb9 : 6667;
7cba : 8130;
7cbb : 7276;
7cbc : 2484;
7cbd : -2955;
7cbe : -6908;
7cbf : -6505;
7cc0 : -3373;
7cc1 : 304;
7cc2 : 3329;
7cc3 : 1092;
7cc4 : 347;
7cc5 : 775;
7cc6 : 2531;
7cc7 : 5199;
7cc8 : 5574;
7cc9 : 5395;
7cca : 2808;
7ccb : -509;
7ccc : -1765;
7ccd : -703;
7cce : -1018;
7ccf : -2737;
7cd0 : -3775;
7cd1 : -2591;
7cd2 : -693;
7cd3 : 922;
7cd4 : 2229;
7cd5 : 1623;
7cd6 : -2276;
7cd7 : -3548;
7cd8 : -2528;
7cd9 : -3420;
7cda : -3591;
7cdb : -1923;
7cdc : -3255;
7cdd : -4655;
7cde : -3200;
7cdf : -1676;
7ce0 : 1304;
7ce1 : 4723;
7ce2 : 7303;
7ce3 : 5242;
7ce4 : -138;
7ce5 : -2593;
7ce6 : -3697;
7ce7 : -4623;
7ce8 : -4656;
7ce9 : -3162;
7cea : -1573;
7ceb : -1018;
7cec : 126;
7ced : 832;
7cee : 979;
7cef : 3061;
7cf0 : 5325;
7cf1 : 5469;
7cf2 : 3135;
7cf3 : 518;
7cf4 : -1064;
7cf5 : -288;
7cf6 : -136;
7cf7 : -1196;
7cf8 : -554;
7cf9 : -308;
7cfa : 2228;
7cfb : 3171;
7cfc : 2616;
7cfd : 3687;
7cfe : 3219;
7cff : 1391;
7d00 : 1998;
7d01 : -564;
7d02 : -3780;
7d03 : -3647;
7d04 : -4163;
7d05 : -4836;
7d06 : -1344;
7d07 : 1808;
7d08 : 4466;
7d09 : 7250;
7d0a : 8672;
7d0b : 7420;
7d0c : 3094;
7d0d : -1231;
7d0e : -5413;
7d0f : -8349;
7d10 : -8324;
7d11 : -5785;
7d12 : -2681;
7d13 : -3692;
7d14 : -6129;
7d15 : -5139;
7d16 : -1975;
7d17 : 1153;
7d18 : 4529;
7d19 : 4389;
7d1a : 3150;
7d1b : 757;
7d1c : -395;
7d1d : -1027;
7d1e : -1787;
7d1f : -1918;
7d20 : -844;
7d21 : 231;
7d22 : 1980;
7d23 : 3950;
7d24 : 2712;
7d25 : 2083;
7d26 : 1211;
7d27 : -195;
7d28 : 1352;
7d29 : 3393;
7d2a : 301;
7d2b : -1308;
7d2c : -2150;
7d2d : -4697;
7d2e : -2538;
7d2f : 604;
7d30 : 1838;
7d31 : 2216;
7d32 : 3315;
7d33 : 4596;
7d34 : 4090;
7d35 : 1989;
7d36 : -393;
7d37 : -3157;
7d38 : -4233;
7d39 : -2240;
7d3a : 419;
7d3b : 1220;
7d3c : 66;
7d3d : -1124;
7d3e : -1723;
7d3f : -1439;
7d40 : 1541;
7d41 : 4494;
7d42 : 4150;
7d43 : 2156;
7d44 : -1798;
7d45 : -5501;
7d46 : -6025;
7d47 : -3600;
7d48 : -1220;
7d49 : -1899;
7d4a : -1256;
7d4b : 2013;
7d4c : 3318;
7d4d : 3535;
7d4e : 3082;
7d4f : 188;
7d50 : -2315;
7d51 : -479;
7d52 : -1507;
7d53 : -2788;
7d54 : -2139;
7d55 : -3682;
7d56 : -3739;
7d57 : -2037;
7d58 : 43;
7d59 : 2688;
7d5a : 4966;
7d5b : 6655;
7d5c : 6565;
7d5d : 4959;
7d5e : 1969;
7d5f : -717;
7d60 : -3069;
7d61 : -5246;
7d62 : -4141;
7d63 : -995;
7d64 : 544;
7d65 : 255;
7d66 : -1188;
7d67 : -1794;
7d68 : 783;
7d69 : 4768;
7d6a : 6935;
7d6b : 6323;
7d6c : 3712;
7d6d : -77;
7d6e : -2158;
7d6f : -3446;
7d70 : -3152;
7d71 : -3138;
7d72 : -2526;
7d73 : -114;
7d74 : 553;
7d75 : -75;
7d76 : 1110;
7d77 : 1713;
7d78 : -495;
7d79 : -1034;
7d7a : -2823;
7d7b : -5927;
7d7c : -4631;
7d7d : -3416;
7d7e : -4434;
7d7f : -4023;
7d80 : -2542;
7d81 : 221;
7d82 : 2162;
7d83 : 5010;
7d84 : 6982;
7d85 : 6102;
7d86 : 3246;
7d87 : -1291;
7d88 : -3627;
7d89 : -4235;
7d8a : -3621;
7d8b : -1249;
7d8c : -170;
7d8d : -926;
7d8e : -628;
7d8f : -355;
7d90 : 704;
7d91 : 2163;
7d92 : 2029;
7d93 : 2367;
7d94 : 2524;
7d95 : 870;
7d96 : -421;
7d97 : -941;
7d98 : -311;
7d99 : 845;
7d9a : 922;
7d9b : 1907;
7d9c : 3480;
7d9d : 3477;
7d9e : 4301;
7d9f : 4694;
7da0 : 1227;
7da1 : 256;
7da2 : 1584;
7da3 : -581;
7da4 : -2714;
7da5 : -2735;
7da6 : -4651;
7da7 : -5145;
7da8 : -2248;
7da9 : 318;
7daa : 614;
7dab : 1809;
7dac : 4585;
7dad : 5759;
7dae : 4608;
7daf : 608;
7db0 : -3613;
7db1 : -5981;
7db2 : -6921;
7db3 : -5384;
7db4 : -2146;
7db5 : -960;
7db6 : -1408;
7db7 : -2527;
7db8 : -2643;
7db9 : -1626;
7dba : 697;
7dbb : 2571;
7dbc : 1753;
7dbd : 428;
7dbe : -476;
7dbf : -917;
7dc0 : -496;
7dc1 : -269;
7dc2 : -2165;
7dc3 : -2893;
7dc4 : 319;
7dc5 : 2766;
7dc6 : 3676;
7dc7 : 4359;
7dc8 : 897;
7dc9 : -1107;
7dca : 1493;
7dcb : 1047;
7dcc : -143;
7dcd : 765;
7dce : -12;
7dcf : -1719;
7dd0 : -1726;
7dd1 : -638;
7dd2 : 263;
7dd3 : 1690;
7dd4 : 3977;
7dd5 : 5495;
7dd6 : 5851;
7dd7 : 4465;
7dd8 : 1853;
7dd9 : -802;
7dda : -5027;
7ddb : -6320;
7ddc : -3670;
7ddd : -1064;
7dde : 1411;
7ddf : 1697;
7de0 : -637;
7de1 : -1720;
7de2 : -512;
7de3 : 1300;
7de4 : 2727;
7de5 : 2656;
7de6 : 1991;
7de7 : -344;
7de8 : -3230;
7de9 : -3661;
7dea : -3435;
7deb : -3956;
7dec : -2317;
7ded : -393;
7dee : 463;
7def : 3575;
7df0 : 4507;
7df1 : 858;
7df2 : -524;
7df3 : -2993;
7df4 : -5300;
7df5 : -3454;
7df6 : -1725;
7df7 : -2768;
7df8 : -3298;
7df9 : -1899;
7dfa : -447;
7dfb : 834;
7dfc : 2985;
7dfd : 4931;
7dfe : 6651;
7dff : 7016;
7e00 : 4344;
7e01 : 666;
7e02 : -2833;
7e03 : -4983;
7e04 : -3657;
7e05 : -1697;
7e06 : 222;
7e07 : 1783;
7e08 : 1024;
7e09 : -594;
7e0a : -452;
7e0b : 219;
7e0c : 1458;
7e0d : 2667;
7e0e : 3488;
7e0f : 2783;
7e10 : 34;
7e11 : -949;
7e12 : -806;
7e13 : -1913;
7e14 : -2036;
7e15 : 671;
7e16 : 2026;
7e17 : 3771;
7e18 : 5681;
7e19 : 2337;
7e1a : 44;
7e1b : -376;
7e1c : -3568;
7e1d : -5997;
7e1e : -5471;
7e1f : -4491;
7e20 : -3095;
7e21 : -1963;
7e22 : -2186;
7e23 : -3320;
7e24 : -2389;
7e25 : 808;
7e26 : 4120;
7e27 : 6482;
7e28 : 4450;
7e29 : 699;
7e2a : -1660;
7e2b : -2839;
7e2c : -2541;
7e2d : -915;
7e2e : 652;
7e2f : 1770;
7e30 : 1240;
7e31 : -579;
7e32 : -947;
7e33 : 114;
7e34 : 972;
7e35 : 886;
7e36 : 730;
7e37 : 895;
7e38 : 347;
7e39 : 1035;
7e3a : 2005;
7e3b : -625;
7e3c : -2872;
7e3d : -1309;
7e3e : -77;
7e3f : 1076;
7e40 : 4658;
7e41 : 3967;
7e42 : 1581;
7e43 : 2365;
7e44 : 1362;
7e45 : -725;
7e46 : -412;
7e47 : -110;
7e48 : -1140;
7e49 : -2806;
7e4a : -3662;
7e4b : -2060;
7e4c : -27;
7e4d : 1676;
7e4e : 2788;
7e4f : 4898;
7e50 : 5182;
7e51 : 2968;
7e52 : 374;
7e53 : -4217;
7e54 : -7458;
7e55 : -6412;
7e56 : -3556;
7e57 : -1723;
7e58 : -1373;
7e59 : -2463;
7e5a : -2344;
7e5b : -887;
7e5c : 166;
7e5d : 617;
7e5e : 381;
7e5f : -253;
7e60 : -122;
7e61 : -318;
7e62 : 280;
7e63 : -606;
7e64 : -2240;
7e65 : -1782;
7e66 : -341;
7e67 : 940;
7e68 : 4766;
7e69 : 7074;
7e6a : 3175;
7e6b : 949;
7e6c : 297;
7e6d : -1574;
7e6e : -1222;
7e6f : 354;
7e70 : -378;
7e71 : -2411;
7e72 : -2566;
7e73 : -1138;
7e74 : 123;
7e75 : 988;
7e76 : 2301;
7e77 : 4753;
7e78 : 6995;
7e79 : 5744;
7e7a : 3701;
7e7b : 1885;
7e7c : -2164;
7e7d : -3953;
7e7e : -4146;
7e7f : -3307;
7e80 : -1280;
7e81 : 1220;
7e82 : 920;
7e83 : -89;
7e84 : -1984;
7e85 : -1262;
7e86 : -53;
7e87 : 1137;
7e88 : 1123;
7e89 : 191;
7e8a : -1036;
7e8b : -1304;
7e8c : -2162;
7e8d : -2184;
7e8e : -1180;
7e8f : -1373;
7e90 : 614;
7e91 : 3449;
7e92 : 2353;
7e93 : 93;
7e94 : 703;
7e95 : -1940;
7e96 : -4291;
7e97 : -3711;
7e98 : -2346;
7e99 : -2292;
7e9a : -1262;
7e9b : -908;
7e9c : -1695;
7e9d : -1642;
7e9e : -388;
7e9f : 1717;
7ea0 : 3656;
7ea1 : 4385;
7ea2 : 3560;
7ea3 : 2908;
7ea4 : -61;
7ea5 : -2735;
7ea6 : -1629;
7ea7 : 303;
7ea8 : 2400;
7ea9 : 4746;
7eaa : 3025;
7eab : 589;
7eac : -792;
7ead : -165;
7eae : -11;
7eaf : -31;
7eb0 : 1723;
7eb1 : 2178;
7eb2 : 2390;
7eb3 : 1530;
7eb4 : -673;
7eb5 : -3167;
7eb6 : -2420;
7eb7 : -1919;
7eb8 : -631;
7eb9 : 2421;
7eba : 4105;
7ebb : 2301;
7ebc : 3317;
7ebd : 1864;
7ebe : -1795;
7ebf : -3489;
7ec0 : -4270;
7ec1 : -5126;
7ec2 : -3904;
7ec3 : -3305;
7ec4 : -2811;
7ec5 : -3098;
7ec6 : -2444;
7ec7 : -921;
7ec8 : 2164;
7ec9 : 5417;
7eca : 5559;
7ecb : 3258;
7ecc : -1592;
7ecd : -5065;
7ece : -4463;
7ecf : -2437;
7ed0 : -788;
7ed1 : 1411;
7ed2 : 592;
7ed3 : -473;
7ed4 : -1107;
7ed5 : -197;
7ed6 : 342;
7ed7 : 714;
7ed8 : 1584;
7ed9 : 2425;
7eda : 783;
7edb : 1106;
7edc : 1273;
7edd : -258;
7ede : -1959;
7edf : -1239;
7ee0 : -1877;
7ee1 : 1108;
7ee2 : 5476;
7ee3 : 5022;
7ee4 : 3337;
7ee5 : 2658;
7ee6 : 1386;
7ee7 : 1004;
7ee8 : 541;
7ee9 : -1248;
7eea : -3489;
7eeb : -6549;
7eec : -8177;
7eed : -7131;
7eee : -2161;
7eef : 2918;
7ef0 : 7694;
7ef1 : 10834;
7ef2 : 9773;
7ef3 : 5301;
7ef4 : 1786;
7ef5 : -2774;
7ef6 : -4523;
7ef7 : -4150;
7ef8 : -2189;
7ef9 : -96;
7efa : -137;
7efb : -2788;
7efc : -5316;
7efd : -6736;
7efe : -5545;
7eff : -3744;
7f00 : -1068;
7f01 : 2618;
7f02 : 3171;
7f03 : 3252;
7f04 : 3863;
7f05 : 1992;
7f06 : -2048;
7f07 : -3866;
7f08 : -5745;
7f09 : -5084;
7f0a : 685;
7f0b : 5104;
7f0c : 5816;
7f0d : 5947;
7f0e : 3404;
7f0f : 234;
7f10 : -1073;
7f11 : -210;
7f12 : 990;
7f13 : 2476;
7f14 : 1655;
7f15 : -697;
7f16 : -2626;
7f17 : -3520;
7f18 : -3781;
7f19 : -1951;
7f1a : -293;
7f1b : 643;
7f1c : 4723;
7f1d : 7257;
7f1e : 6348;
7f1f : 5602;
7f20 : 4129;
7f21 : 1269;
7f22 : -1886;
7f23 : -4979;
7f24 : -6710;
7f25 : -7403;
7f26 : -5026;
7f27 : -2104;
7f28 : 569;
7f29 : 2542;
7f2a : 2923;
7f2b : 1553;
7f2c : 1273;
7f2d : -1107;
7f2e : -2387;
7f2f : 579;
7f30 : 1748;
7f31 : 110;
7f32 : 193;
7f33 : -1290;
7f34 : -4867;
7f35 : -5335;
7f36 : -3889;
7f37 : -1485;
7f38 : 1815;
7f39 : 4173;
7f3a : 5392;
7f3b : 4093;
7f3c : 964;
7f3d : -2138;
7f3e : -4601;
7f3f : -6668;
7f40 : -6761;
7f41 : -3140;
7f42 : 1665;
7f43 : 4210;
7f44 : 4928;
7f45 : 2683;
7f46 : -1644;
7f47 : -2284;
7f48 : -218;
7f49 : 2984;
7f4a : 6059;
7f4b : 6482;
7f4c : 5003;
7f4d : 1485;
7f4e : -2717;
7f4f : -4778;
7f50 : -5594;
7f51 : -4853;
7f52 : -773;
7f53 : 3145;
7f54 : 5616;
7f55 : 7487;
7f56 : 5187;
7f57 : 2414;
7f58 : -61;
7f59 : -3436;
7f5a : -3545;
7f5b : -1408;
7f5c : -563;
7f5d : -27;
7f5e : 2187;
7f5f : 2072;
7f60 : 579;
7f61 : -1210;
7f62 : -2906;
7f63 : -4883;
7f64 : -3781;
7f65 : -1398;
7f66 : 222;
7f67 : 171;
7f68 : -330;
7f69 : -1214;
7f6a : -1254;
7f6b : -1149;
7f6c : -1456;
7f6d : -277;
7f6e : -1018;
7f6f : -1700;
7f70 : 442;
7f71 : 2344;
7f72 : 3744;
7f73 : 3775;
7f74 : 1213;
7f75 : -2627;
7f76 : -5886;
7f77 : -4543;
7f78 : -1138;
7f79 : 1639;
7f7a : 2656;
7f7b : 588;
7f7c : -2202;
7f7d : -1820;
7f7e : -254;
7f7f : 1823;
7f80 : 5071;
7f81 : 5015;
7f82 : 3090;
7f83 : 3415;
7f84 : 2292;
7f85 : -560;
7f86 : -1583;
7f87 : -2426;
7f88 : -2227;
7f89 : 1298;
7f8a : 4399;
7f8b : 5317;
7f8c : 4524;
7f8d : 1461;
7f8e : -3273;
7f8f : -6019;
7f90 : -5221;
7f91 : -3743;
7f92 : -1042;
7f93 : 2741;
7f94 : 4402;
7f95 : 3815;
7f96 : 1035;
7f97 : -2946;
7f98 : -4096;
7f99 : -3141;
7f9a : -75;
7f9b : 4298;
7f9c : 5585;
7f9d : 3117;
7f9e : -308;
7f9f : -3339;
7fa0 : -5908;
7fa1 : -6986;
7fa2 : -5169;
7fa3 : -2664;
7fa4 : -878;
7fa5 : 1365;
7fa6 : 1917;
7fa7 : 96;
7fa8 : -53;
7fa9 : 64;
7faa : -1848;
7fab : -1486;
7fac : -67;
7fad : 647;
7fae : 2521;
7faf : 3696;
7fb0 : 2335;
7fb1 : 819;
7fb2 : -172;
7fb3 : -934;
7fb4 : -214;
7fb5 : 398;
7fb6 : 574;
7fb7 : 497;
7fb8 : -77;
7fb9 : 16;
7fba : 213;
7fbb : 971;
7fbc : 1284;
7fbd : 820;
7fbe : 338;
7fbf : 1083;
7fc0 : 3019;
7fc1 : 3663;
7fc2 : 3183;
7fc3 : 2315;
7fc4 : -421;
7fc5 : -2975;
7fc6 : -3475;
7fc7 : -3632;
7fc8 : -3056;
7fc9 : -1308;
7fca : 1250;
7fcb : 3166;
7fcc : 1970;
7fcd : 637;
7fce : 665;
7fcf : -2136;
7fd0 : -3684;
7fd1 : -895;
7fd2 : 837;
7fd3 : 708;
7fd4 : 2330;
7fd5 : 1717;
7fd6 : -1892;
7fd7 : -3466;
7fd8 : -3059;
7fd9 : -1786;
7fda : 1695;
7fdb : 3810;
7fdc : 2596;
7fdd : 96;
7fde : -2978;
7fdf : -4447;
7fe0 : -4475;
7fe1 : -3660;
7fe2 : -2881;
7fe3 : -390;
7fe4 : 2825;
7fe5 : 4226;
7fe6 : 3977;
7fe7 : 1256;
7fe8 : -2217;
7fe9 : -3394;
7fea : -2044;
7feb : 2102;
7fec : 6047;
7fed : 7327;
7fee : 5429;
7fef : 1429;
7ff0 : -1836;
7ff1 : -3572;
7ff2 : -3089;
7ff3 : -1181;
7ff4 : -526;
7ff5 : 764;
7ff6 : 4191;
7ff7 : 4058;
7ff8 : 1432;
7ff9 : -11;
7ffa : -1140;
7ffb : -3172;
7ffc : -1273;
7ffd : 1747;
7ffe : 1400;
7fff : 1205;
8000 : 2145;
8001 : 1010;
8002 : -683;
8003 : -1458;
8004 : -2061;
8005 : -2961;
8006 : -2936;
8007 : -1939;
8008 : -995;
8009 : -963;
800a : -2240;
800b : -2351;
800c : -1831;
800d : -1245;
800e : 1205;
800f : 3119;
8010 : 1875;
8011 : 404;
8012 : -1092;
8013 : -925;
8014 : -889;
8015 : 135;
8016 : -263;
8017 : -1760;
8018 : -2588;
8019 : -2100;
801a : -265;
801b : 2874;
801c : 3784;
801d : 1286;
801e : -1189;
801f : -2294;
8020 : -2877;
8021 : 599;
8022 : 4642;
8023 : 3904;
8024 : 2790;
8025 : 1249;
8026 : -739;
8027 : -1945;
8028 : -1039;
8029 : -511;
802a : 104;
802b : 2204;
802c : 5479;
802d : 6299;
802e : 4978;
802f : 1270;
8030 : -2809;
8031 : -6760;
8032 : -7656;
8033 : -4395;
8034 : -302;
8035 : 2950;
8036 : 5829;
8037 : 5844;
8038 : 1746;
8039 : -2347;
803a : -4663;
803b : -4469;
803c : -1306;
803d : 2770;
803e : 4896;
803f : 4037;
8040 : 61;
8041 : -2652;
8042 : -5322;
8043 : -6709;
8044 : -4576;
8045 : -1628;
8046 : -1074;
8047 : 1528;
8048 : 1781;
8049 : -37;
804a : -1185;
804b : -2523;
804c : -3723;
804d : -1760;
804e : 1612;
804f : 3115;
8050 : 4599;
8051 : 5156;
8052 : 3342;
8053 : 1195;
8054 : -474;
8055 : -2280;
8056 : -2273;
8057 : -263;
8058 : 1392;
8059 : 1224;
805a : 993;
805b : -1017;
805c : -1309;
805d : -515;
805e : 812;
805f : 1958;
8060 : 2042;
8061 : 1356;
8062 : 2070;
8063 : 2535;
8064 : 2343;
8065 : 1683;
8066 : 318;
8067 : -2043;
8068 : -3832;
8069 : -2242;
806a : -194;
806b : 836;
806c : 1977;
806d : 2628;
806e : 125;
806f : -604;
8070 : -160;
8071 : -2060;
8072 : -2507;
8073 : -681;
8074 : -592;
8075 : 763;
8076 : 1412;
8077 : 410;
8078 : -1472;
8079 : -3387;
807a : -4276;
807b : -2772;
807c : 263;
807d : 2946;
807e : 4113;
807f : 3102;
8080 : -782;
8081 : -4102;
8082 : -4665;
8083 : -4973;
8084 : -4131;
8085 : -1706;
8086 : 1047;
8087 : 3250;
8088 : 4363;
8089 : 2618;
808a : -495;
808b : -3447;
808c : -3681;
808d : -822;
808e : 4355;
808f : 6802;
8090 : 6910;
8091 : 4650;
8092 : 559;
8093 : -3112;
8094 : -3997;
8095 : -2088;
8096 : -1665;
8097 : -537;
8098 : 2706;
8099 : 3937;
809a : 3223;
809b : 3087;
809c : 818;
809d : -2275;
809e : -2892;
809f : -1249;
80a0 : 162;
80a1 : 1169;
80a2 : 1564;
80a3 : 1475;
80a4 : 599;
80a5 : 315;
80a6 : 159;
80a7 : -1010;
80a8 : -2870;
80a9 : -2884;
80aa : -1565;
80ab : -594;
80ac : -918;
80ad : -1855;
80ae : -1861;
80af : -1805;
80b0 : -1318;
80b1 : -209;
80b2 : 909;
80b3 : -22;
80b4 : -686;
80b5 : 156;
80b6 : 693;
80b7 : 964;
80b8 : 1329;
80b9 : 160;
80ba : -1517;
80bb : -2791;
80bc : -2067;
80bd : 426;
80be : 2176;
80bf : 693;
80c0 : -197;
80c1 : -1180;
80c2 : -3171;
80c3 : -1257;
80c4 : 2355;
80c5 : 2682;
80c6 : 2939;
80c7 : 4140;
80c8 : 1938;
80c9 : -522;
80ca : -435;
80cb : -181;
80cc : -502;
80cd : 154;
80ce : 1253;
80cf : 2936;
80d0 : 4191;
80d1 : 3682;
80d2 : 2146;
80d3 : -593;
80d4 : -3939;
80d5 : -4104;
80d6 : -1927;
80d7 : 181;
80d8 : 1865;
80d9 : 3098;
80da : 2211;
80db : -804;
80dc : -3227;
80dd : -3630;
80de : -2684;
80df : 346;
80e0 : 3639;
80e1 : 3717;
80e2 : 2819;
80e3 : 733;
80e4 : -1986;
80e5 : -3987;
80e6 : -3928;
80e7 : -3680;
80e8 : -3161;
80e9 : -1282;
80ea : -942;
80eb : -675;
80ec : -129;
80ed : -896;
80ee : -1624;
80ef : -1348;
80f0 : -406;
80f1 : 688;
80f2 : 3216;
80f3 : 4116;
80f4 : 2574;
80f5 : 1222;
80f6 : 212;
80f7 : -1580;
80f8 : -2381;
80f9 : -1934;
80fa : -290;
80fb : 2369;
80fc : 3517;
80fd : 3763;
80fe : 2235;
80ff : 289;
8100 : -334;
8101 : -749;
8102 : -1628;
8103 : -1822;
8104 : -1316;
8105 : 316;
8106 : 2757;
8107 : 4373;
8108 : 4740;
8109 : 2836;
810a : -567;
810b : -2232;
810c : -2845;
810d : -2397;
810e : -560;
810f : 388;
8110 : 282;
8111 : -44;
8112 : 343;
8113 : 149;
8114 : -529;
8115 : -1268;
8116 : -1672;
8117 : -1307;
8118 : 197;
8119 : 962;
811a : 1056;
811b : 1005;
811c : -608;
811d : -2516;
811e : -2497;
811f : -2315;
8120 : -2277;
8121 : -197;
8122 : 1035;
8123 : 1433;
8124 : 2031;
8125 : 2125;
8126 : 435;
8127 : -2185;
8128 : -3117;
8129 : -3462;
812a : -3918;
812b : -2360;
812c : -1005;
812d : -837;
812e : 24;
812f : 571;
8130 : 2275;
8131 : 4963;
8132 : 4607;
8133 : 4444;
8134 : 3810;
8135 : 1373;
8136 : 936;
8137 : 1406;
8138 : 409;
8139 : -1351;
813a : -1973;
813b : -2045;
813c : -1192;
813d : 127;
813e : 872;
813f : 1184;
8140 : 1529;
8141 : 1598;
8142 : 1426;
8143 : 1754;
8144 : 1484;
8145 : -278;
8146 : -749;
8147 : -1060;
8148 : -1239;
8149 : 113;
814a : 931;
814b : 710;
814c : 427;
814d : -456;
814e : -677;
814f : -1912;
8150 : -3767;
8151 : -3708;
8152 : -3703;
8153 : -2438;
8154 : -1518;
8155 : -1633;
8156 : -1533;
8157 : -962;
8158 : 160;
8159 : 2141;
815a : 2707;
815b : 3281;
815c : 3728;
815d : 1872;
815e : 185;
815f : -665;
8160 : -1572;
8161 : -2117;
8162 : -2256;
8163 : -2243;
8164 : -2035;
8165 : -768;
8166 : 1028;
8167 : 2269;
8168 : 2044;
8169 : 1160;
816a : 444;
816b : -245;
816c : 636;
816d : 1409;
816e : 2143;
816f : 2248;
8170 : 1545;
8171 : 989;
8172 : 1138;
8173 : 2008;
8174 : 2250;
8175 : 1428;
8176 : 980;
8177 : 28;
8178 : -834;
8179 : -466;
817a : -1249;
817b : -2364;
817c : -2551;
817d : -3281;
817e : -2780;
817f : -1096;
8180 : 808;
8181 : 3182;
8182 : 4267;
8183 : 4302;
8184 : 3443;
8185 : 1689;
8186 : -865;
8187 : -2123;
8188 : -3748;
8189 : -5172;
818a : -5415;
818b : -4445;
818c : -3061;
818d : -1623;
818e : -36;
818f : -85;
8190 : -637;
8191 : -705;
8192 : 25;
8193 : 896;
8194 : 2213;
8195 : 3082;
8196 : 2484;
8197 : 1159;
8198 : 562;
8199 : -407;
819a : -1394;
819b : -1345;
819c : -44;
819d : 1345;
819e : 2225;
819f : 2009;
81a0 : 1016;
81a1 : -468;
81a2 : -1769;
81a3 : -2054;
81a4 : -1127;
81a5 : -595;
81a6 : 381;
81a7 : 1732;
81a8 : 2325;
81a9 : 3723;
81aa : 4396;
81ab : 3392;
81ac : 2188;
81ad : 1286;
81ae : 338;
81af : 507;
81b0 : 8;
81b1 : -1952;
81b2 : -3921;
81b3 : -4182;
81b4 : -3133;
81b5 : -759;
81b6 : 1640;
81b7 : 2711;
81b8 : 2427;
81b9 : 1839;
81ba : 638;
81bb : -572;
81bc : -1495;
81bd : -1787;
81be : -1801;
81bf : -2135;
81c0 : -1648;
81c1 : -824;
81c2 : -97;
81c3 : 200;
81c4 : 484;
81c5 : -415;
81c6 : -1270;
81c7 : -909;
81c8 : -367;
81c9 : -576;
81ca : -1156;
81cb : -1950;
81cc : -1993;
81cd : -2120;
81ce : -1474;
81cf : -652;
81d0 : -559;
81d1 : -20;
81d2 : 1447;
81d3 : 2645;
81d4 : 4075;
81d5 : 5144;
81d6 : 4335;
81d7 : 2239;
81d8 : -7;
81d9 : -980;
81da : -1152;
81db : -711;
81dc : -617;
81dd : -51;
81de : 640;
81df : 1545;
81e0 : 1673;
81e1 : 479;
81e2 : -659;
81e3 : -991;
81e4 : -940;
81e5 : 152;
81e6 : 1163;
81e7 : 1495;
81e8 : 1140;
81e9 : 917;
81ea : 598;
81eb : -265;
81ec : -678;
81ed : -153;
81ee : -303;
81ef : -900;
81f0 : -1909;
81f1 : -3322;
81f2 : -4689;
81f3 : -6606;
81f4 : -7584;
81f5 : -7169;
81f6 : -6329;
81f7 : -5798;
81f8 : -4867;
81f9 : -5618;
81fa : -4396;
81fb : -4747;
81fc : -6762;
81fd : -3010;
81fe : -3267;
81ff : 522;
8200 : -563;
8201 : -3131;
8202 : 5318;
8203 : 2950;
8204 : 5308;
8205 : 5822;
8206 : 6399;
8207 : 6214;
8208 : 7124;
8209 : 7222;
820a : 7746;
820b : 8483;
820c : 7789;
820d : 6990;
820e : 6744;
820f : 6777;
8210 : 7474;
8211 : 8454;
8212 : 7948;
8213 : 7337;
8214 : 7820;
8215 : 7779;
8216 : 8026;
8217 : 7912;
8218 : 7578;
8219 : 5785;
821a : 4534;
821b : 4163;
821c : 6444;
821d : 8815;
821e : 7552;
821f : 799;
8220 : -1743;
8221 : -5544;
8222 : -11066;
8223 : -9252;
8224 : -10041;
8225 : -4019;
8226 : 2888;
8227 : 3290;
8228 : 863;
8229 : -5710;
822a : -9513;
822b : -10539;
822c : -12005;
822d : -10146;
822e : -9991;
822f : -8403;
8230 : -9356;
8231 : -10096;
8232 : -10767;
8233 : -14270;
8234 : -16626;
8235 : -12584;
8236 : -8257;
8237 : -4658;
8238 : -654;
8239 : 240;
823a : -1645;
823b : -4946;
823c : -7933;
823d : -8157;
823e : -6914;
823f : -6172;
8240 : -4739;
8241 : -4998;
8242 : -4585;
8243 : -4783;
8244 : -3774;
8245 : -3298;
8246 : -1327;
8247 : 1879;
8248 : 3765;
8249 : 3272;
824a : 3014;
824b : 3508;
824c : -370;
824d : -3179;
824e : -1572;
824f : -536;
8250 : 3723;
8251 : 10616;
8252 : 15977;
8253 : 18860;
8254 : 13957;
8255 : 5082;
8256 : 2619;
8257 : -1772;
8258 : -3526;
8259 : -3592;
825a : -3695;
825b : -189;
825c : 3464;
825d : 7686;
825e : 7590;
825f : 7111;
8260 : 8910;
8261 : 9306;
8262 : 12710;
8263 : 13921;
8264 : 10032;
8265 : 7902;
8266 : 5866;
8267 : 2704;
8268 : -494;
8269 : -4561;
826a : -3486;
826b : 1748;
826c : 4214;
826d : 4182;
826e : 6559;
826f : 6682;
8270 : 7368;
8271 : 6251;
8272 : 3628;
8273 : 3770;
8274 : 3193;
8275 : 2722;
8276 : 911;
8277 : -20;
8278 : 1955;
8279 : 2951;
827a : 5970;
827b : 5213;
827c : 5429;
827d : 7671;
827e : 6291;
827f : 4284;
8280 : 3110;
8281 : 963;
8282 : -1226;
8283 : -4647;
8284 : -3634;
8285 : -3083;
8286 : -1316;
8287 : 5166;
8288 : 11251;
8289 : 15159;
828a : 12149;
828b : 4895;
828c : 749;
828d : -4996;
828e : -9226;
828f : -12886;
8290 : -13404;
8291 : -13276;
8292 : -9552;
8293 : -6594;
8294 : -8297;
8295 : -7887;
8296 : -6654;
8297 : -7241;
8298 : -2615;
8299 : -1416;
829a : -2782;
829b : -3355;
829c : -5593;
829d : -5774;
829e : -6214;
829f : -8304;
82a0 : -8443;
82a1 : -4997;
82a2 : -4723;
82a3 : -5447;
82a4 : -3836;
82a5 : -3080;
82a6 : -719;
82a7 : -758;
82a8 : -3039;
82a9 : -3326;
82aa : -4562;
82ab : -4162;
82ac : -5879;
82ad : -5618;
82ae : -3453;
82af : -1648;
82b0 : 1874;
82b1 : 1194;
82b2 : 2192;
82b3 : 5029;
82b4 : 3841;
82b5 : 2299;
82b6 : 1860;
82b7 : 945;
82b8 : -741;
82b9 : -4202;
82ba : -2744;
82bb : -3792;
82bc : -4215;
82bd : -1520;
82be : 5038;
82bf : 9424;
82c0 : 8548;
82c1 : 2861;
82c2 : -924;
82c3 : -5316;
82c4 : -8632;
82c5 : -11912;
82c6 : -10748;
82c7 : -7544;
82c8 : -1465;
82c9 : 2574;
82ca : 982;
82cb : 1897;
82cc : 347;
82cd : -2105;
82ce : 1380;
82cf : 1676;
82d0 : 1327;
82d1 : 2572;
82d2 : 519;
82d3 : 1311;
82d4 : 304;
82d5 : -1843;
82d6 : -3937;
82d7 : 34;
82d8 : 549;
82d9 : 868;
82da : 2379;
82db : 2742;
82dc : 4456;
82dd : 4037;
82de : 759;
82df : 37;
82e0 : -1015;
82e1 : 118;
82e2 : -895;
82e3 : 811;
82e4 : 2346;
82e5 : 4181;
82e6 : 6988;
82e7 : 5434;
82e8 : 5969;
82e9 : 5850;
82ea : 3894;
82eb : 3907;
82ec : 4553;
82ed : 4849;
82ee : 3700;
82ef : 634;
82f0 : 2359;
82f1 : 2329;
82f2 : 3754;
82f3 : 5447;
82f4 : 10321;
82f5 : 12616;
82f6 : 9440;
82f7 : 1677;
82f8 : -2059;
82f9 : -5931;
82fa : -7686;
82fb : -10109;
82fc : -9674;
82fd : -9628;
82fe : -4895;
82ff : -1756;
8300 : -2918;
8301 : -3313;
8302 : -6873;
8303 : -7848;
8304 : -5761;
8305 : -6224;
8306 : -6357;
8307 : -5584;
8308 : -6820;
8309 : -6111;
830a : -7619;
830b : -8696;
830c : -10342;
830d : -6118;
830e : -5650;
830f : -3637;
8310 : -3426;
8311 : -2892;
8312 : -1961;
8313 : -2850;
8314 : -4831;
8315 : -4313;
8316 : -3796;
8317 : -2040;
8318 : -987;
8319 : 2572;
831a : 3260;
831b : 4521;
831c : 5389;
831d : 2199;
831e : 2367;
831f : 2886;
8320 : 2306;
8321 : 2672;
8322 : 2672;
8323 : 2826;
8324 : 2311;
8325 : 757;
8326 : 2457;
8327 : 3081;
8328 : 4389;
8329 : 5652;
832a : 11483;
832b : 15711;
832c : 15733;
832d : 10240;
832e : 7604;
832f : 4674;
8330 : 2851;
8331 : 1343;
8332 : 2152;
8333 : 1517;
8334 : 5887;
8335 : 8224;
8336 : 7367;
8337 : 6957;
8338 : 3661;
8339 : 3789;
833a : 5553;
833b : 4779;
833c : 3659;
833d : 2809;
833e : 0;
833f : 1054;
8340 : 2037;
8341 : 2286;
8342 : 1120;
8343 : 4426;
8344 : 2796;
8345 : 1285;
8346 : -2325;
8347 : -2886;
8348 : -3041;
8349 : -1999;
834a : -3031;
834b : -3410;
834c : -4165;
834d : -3479;
834e : -4256;
834f : -2463;
8350 : -3467;
8351 : -790;
8352 : 1212;
8353 : -484;
8354 : 808;
8355 : 537;
8356 : -346;
8357 : -494;
8358 : -2166;
8359 : -2174;
835a : -2261;
835b : -3959;
835c : -2447;
835d : -2598;
835e : -2682;
835f : -3650;
8360 : 327;
8361 : 4882;
8362 : 5247;
8363 : 715;
8364 : -2193;
8365 : -5310;
8366 : -6648;
8367 : -8226;
8368 : -7323;
8369 : -6249;
836a : -662;
836b : 2284;
836c : 3117;
836d : 2429;
836e : -1570;
836f : -3415;
8370 : -3039;
8371 : -4554;
8372 : -3715;
8373 : -4013;
8374 : -4888;
8375 : -3081;
8376 : -2115;
8377 : -2105;
8378 : -4652;
8379 : -2704;
837a : -2525;
837b : -1081;
837c : -1539;
837d : 406;
837e : 63;
837f : 420;
8380 : -1198;
8381 : -1838;
8382 : -2889;
8383 : -1584;
8384 : -2823;
8385 : -152;
8386 : -1297;
8387 : 1232;
8388 : 3045;
8389 : 2150;
838a : 4211;
838b : 4231;
838c : 3568;
838d : 2821;
838e : 1706;
838f : 2078;
8390 : 2142;
8391 : 674;
8392 : 3175;
8393 : 4577;
8394 : 6383;
8395 : 5899;
8396 : 8543;
8397 : 11304;
8398 : 11012;
8399 : 5207;
839a : 1677;
839b : -1192;
839c : -2966;
839d : -3932;
839e : -3974;
839f : -3472;
83a0 : 970;
83a1 : 2524;
83a2 : 4299;
83a3 : 4103;
83a4 : 1572;
83a5 : 3177;
83a6 : 4866;
83a7 : 3863;
83a8 : 4455;
83a9 : 3318;
83aa : 742;
83ab : 764;
83ac : 42;
83ad : -509;
83ae : -1062;
83af : 1320;
83b0 : 2357;
83b1 : 3072;
83b2 : 1352;
83b3 : 2276;
83b4 : 1177;
83b5 : 698;
83b6 : -1924;
83b7 : -3527;
83b8 : -4192;
83b9 : -2935;
83ba : -3294;
83bb : -871;
83bc : -1381;
83bd : 2746;
83be : 4086;
83bf : 2589;
83c0 : 2990;
83c1 : 1780;
83c2 : 1534;
83c3 : 625;
83c4 : -1104;
83c5 : -1535;
83c6 : -2555;
83c7 : -5539;
83c8 : -5035;
83c9 : -4915;
83ca : -3744;
83cb : -2718;
83cc : 1291;
83cd : 5954;
83ce : 6769;
83cf : 2653;
83d0 : -884;
83d1 : -3825;
83d2 : -5350;
83d3 : -6873;
83d4 : -8398;
83d5 : -8679;
83d6 : -5913;
83d7 : -5555;
83d8 : -4372;
83d9 : -4518;
83da : -6721;
83db : -5072;
83dc : -3666;
83dd : -3491;
83de : -2903;
83df : -5278;
83e0 : -7810;
83e1 : -7636;
83e2 : -6800;
83e3 : -6096;
83e4 : -5628;
83e5 : -3459;
83e6 : -1304;
83e7 : -1018;
83e8 : -2670;
83e9 : -1677;
83ea : -2011;
83eb : -1221;
83ec : -1821;
83ed : -2068;
83ee : -2539;
83ef : -1275;
83f0 : -441;
83f1 : -347;
83f2 : -1392;
83f3 : 890;
83f4 : 2350;
83f5 : 2747;
83f6 : 4975;
83f7 : 5399;
83f8 : 6668;
83f9 : 6515;
83fa : 4834;
83fb : 5291;
83fc : 3083;
83fd : 374;
83fe : 1329;
83ff : 2267;
8400 : 3908;
8401 : 5340;
8402 : 8700;
8403 : 13366;
8404 : 14788;
8405 : 10801;
8406 : 6858;
8407 : 3841;
8408 : 1693;
8409 : 391;
840a : -1032;
840b : -737;
840c : 2845;
840d : 5375;
840e : 7748;
840f : 7544;
8410 : 4956;
8411 : 5073;
8412 : 5203;
8413 : 4563;
8414 : 4983;
8415 : 2907;
8416 : 1339;
8417 : 466;
8418 : 940;
8419 : 333;
841a : -135;
841b : 555;
841c : 1629;
841d : 1183;
841e : -125;
841f : 432;
8420 : -165;
8421 : 471;
8422 : -113;
8423 : -1910;
8424 : -2209;
8425 : -3253;
8426 : -3465;
8427 : -3860;
8428 : -5207;
8429 : -3044;
842a : -2148;
842b : -2095;
842c : -855;
842d : -1188;
842e : -1161;
842f : -2241;
8430 : -3082;
8431 : -2616;
8432 : -3344;
8433 : -4348;
8434 : -5317;
8435 : -4183;
8436 : -1605;
8437 : -1073;
8438 : 1021;
8439 : 5609;
843a : 6367;
843b : 2997;
843c : -1894;
843d : -4826;
843e : -5825;
843f : -5535;
8440 : -6616;
8441 : -5786;
8442 : -3852;
8443 : -3227;
8444 : -1067;
8445 : -2165;
8446 : -5134;
8447 : -4728;
8448 : -3596;
8449 : -2594;
844a : -619;
844b : -2261;
844c : -3768;
844d : -3981;
844e : -3149;
844f : -3816;
8450 : -3627;
8451 : -3148;
8452 : -898;
8453 : -193;
8454 : -481;
8455 : -288;
8456 : -601;
8457 : -583;
8458 : -1784;
8459 : -4363;
845a : -5079;
845b : -4827;
845c : -2085;
845d : -1165;
845e : -316;
845f : 2656;
8460 : 3311;
8461 : 3457;
8462 : 3573;
8463 : 1755;
8464 : 1960;
8465 : 1250;
8466 : 1021;
8467 : 1867;
8468 : 1174;
8469 : 768;
846a : -289;
846b : 367;
846c : 1413;
846d : 1955;
846e : 3237;
846f : 8168;
8470 : 9542;
8471 : 8139;
8472 : 4768;
8473 : 1950;
8474 : 1894;
8475 : 1349;
8476 : -922;
8477 : -25;
8478 : 725;
8479 : 1845;
847a : 5149;
847b : 4557;
847c : 2316;
847d : 2516;
847e : 2422;
847f : 3082;
8480 : 4516;
8481 : 3097;
8482 : 1678;
8483 : 19;
8484 : 1668;
8485 : 1981;
8486 : 3688;
8487 : 5023;
8488 : 7022;
8489 : 6304;
848a : 4617;
848b : 2812;
848c : 1326;
848d : 619;
848e : -436;
848f : -2528;
8490 : -3126;
8491 : -3352;
8492 : 140;
8493 : 731;
8494 : 279;
8495 : 1168;
8496 : 1070;
8497 : 763;
8498 : 285;
8499 : -380;
849a : 736;
849b : 178;
849c : 283;
849d : 1474;
849e : -434;
849f : -984;
84a0 : -2958;
84a1 : -2786;
84a2 : -1821;
84a3 : -1651;
84a4 : -261;
84a5 : 4618;
84a6 : 6130;
84a7 : 4970;
84a8 : 30;
84a9 : -3796;
84aa : -5096;
84ab : -5089;
84ac : -7356;
84ad : -6112;
84ae : -4797;
84af : -2893;
84b0 : 782;
84b1 : -450;
84b2 : -2497;
84b3 : -3879;
84b4 : -4428;
84b5 : -4420;
84b6 : -3413;
84b7 : -4646;
84b8 : -5238;
84b9 : -6187;
84ba : -4410;
84bb : -4459;
84bc : -3767;
84bd : -3919;
84be : -3288;
84bf : -4251;
84c0 : -4688;
84c1 : -4682;
84c2 : -3090;
84c3 : -1641;
84c4 : -1643;
84c5 : -3410;
84c6 : -3973;
84c7 : -5076;
84c8 : -2630;
84c9 : -2156;
84ca : -2472;
84cb : -744;
84cc : -774;
84cd : 459;
84ce : 773;
84cf : 1019;
84d0 : 1832;
84d1 : 1010;
84d2 : 985;
84d3 : 989;
84d4 : 61;
84d5 : 360;
84d6 : -936;
84d7 : 543;
84d8 : 2407;
84d9 : 3336;
84da : 4334;
84db : 8112;
84dc : 8730;
84dd : 8285;
84de : 3921;
84df : 114;
84e0 : -67;
84e1 : 1188;
84e2 : 771;
84e3 : 1764;
84e4 : 1535;
84e5 : 1932;
84e6 : 3959;
84e7 : 3292;
84e8 : 2311;
84e9 : 1884;
84ea : 2056;
84eb : 3847;
84ec : 5706;
84ed : 4912;
84ee : 4642;
84ef : 2827;
84f0 : 3367;
84f1 : 2866;
84f2 : 3399;
84f3 : 3887;
84f4 : 5914;
84f5 : 5307;
84f6 : 5106;
84f7 : 3207;
84f8 : 2458;
84f9 : 2574;
84fa : 1740;
84fb : -344;
84fc : -1554;
84fd : -2685;
84fe : -940;
84ff : -213;
8500 : 314;
8501 : 1472;
8502 : 1247;
8503 : 1598;
8504 : 303;
8505 : 139;
8506 : 9;
8507 : -336;
8508 : 195;
8509 : 393;
850a : -173;
850b : 125;
850c : -2275;
850d : -2794;
850e : -2940;
850f : -2996;
8510 : -2253;
8511 : 1449;
8512 : 3985;
8513 : 4721;
8514 : 1881;
8515 : -1757;
8516 : -1739;
8517 : -1379;
8518 : -3113;
8519 : -2227;
851a : -3006;
851b : -3144;
851c : -1198;
851d : -2095;
851e : -2794;
851f : -3618;
8520 : -4988;
8521 : -4748;
8522 : -3268;
8523 : -4393;
8524 : -5851;
8525 : -8828;
8526 : -8889;
8527 : -9168;
8528 : -7675;
8529 : -6371;
852a : -4001;
852b : -4157;
852c : -5200;
852d : -7363;
852e : -8443;
852f : -8319;
8530 : -8881;
8531 : -10033;
8532 : -6975;
8533 : -8318;
8534 : -1611;
8535 : -3815;
8536 : -2485;
8537 : 3488;
8538 : 324;
8539 : 3191;
853a : 3231;
853b : 5097;
853c : 6734;
853d : 7829;
853e : 7615;
853f : 7820;
8540 : 7092;
8541 : 6215;
8542 : 4089;
8543 : 4508;
8544 : 5300;
8545 : 6210;
8546 : 7610;
8547 : 10165;
8548 : 12539;
8549 : 13120;
854a : 8954;
854b : 4264;
854c : 3862;
854d : 4624;
854e : 4653;
854f : 5571;
8550 : 5319;
8551 : 6467;
8552 : 8559;
8553 : 6905;
8554 : 2799;
8555 : 1893;
8556 : 912;
8557 : 1514;
8558 : 2188;
8559 : -1375;
855a : -892;
855b : -350;
855c : -416;
855d : -47;
855e : -1443;
855f : -1417;
8560 : -75;
8561 : -1371;
8562 : -1087;
8563 : -3610;
8564 : -3640;
8565 : -3746;
8566 : -3313;
8567 : -2671;
8568 : -3887;
8569 : -5401;
856a : -4523;
856b : -3919;
856c : -3965;
856d : -4334;
856e : -5503;
856f : -4906;
8570 : -5780;
8571 : -5471;
8572 : -4489;
8573 : -3811;
8574 : -4146;
8575 : -4743;
8576 : -5234;
8577 : -6247;
8578 : -7942;
8579 : -6719;
857a : -5262;
857b : -2803;
857c : -1257;
857d : 1256;
857e : 3007;
857f : 3723;
8580 : 1712;
8581 : -3508;
8582 : -3899;
8583 : -3367;
8584 : -1402;
8585 : 1104;
8586 : 127;
8587 : 485;
8588 : 2051;
8589 : 826;
858a : -230;
858b : -865;
858c : -1837;
858d : 655;
858e : 1455;
858f : 2259;
8590 : 2185;
8591 : 681;
8592 : 378;
8593 : 358;
8594 : 144;
8595 : 858;
8596 : 2130;
8597 : 3052;
8598 : 4019;
8599 : 1749;
859a : 1585;
859b : 2432;
859c : 1535;
859d : 1036;
859e : -575;
859f : -1695;
85a0 : -211;
85a1 : 2155;
85a2 : 4386;
85a3 : 5711;
85a4 : 6257;
85a5 : 7098;
85a6 : 5924;
85a7 : 5186;
85a8 : 4830;
85a9 : 5339;
85aa : 5203;
85ab : 4181;
85ac : 5181;
85ad : 4280;
85ae : 2014;
85af : 2573;
85b0 : 2642;
85b1 : 1984;
85b2 : 2565;
85b3 : 3591;
85b4 : 6065;
85b5 : 8319;
85b6 : 6528;
85b7 : 3143;
85b8 : 1933;
85b9 : 2060;
85ba : 2951;
85bb : 3704;
85bc : 2504;
85bd : 2478;
85be : 3234;
85bf : 2672;
85c0 : 2216;
85c1 : 1050;
85c2 : -1120;
85c3 : -1178;
85c4 : -1174;
85c5 : -1264;
85c6 : -2543;
85c7 : -3529;
85c8 : -4935;
85c9 : -4126;
85ca : -2463;
85cb : -515;
85cc : 921;
85cd : 2993;
85ce : 3227;
85cf : 1219;
85d0 : -634;
85d1 : -2022;
85d2 : -3149;
85d3 : -3520;
85d4 : -4397;
85d5 : -4393;
85d6 : -3748;
85d7 : -2299;
85d8 : -974;
85d9 : -1123;
85da : -1634;
85db : -1809;
85dc : -2560;
85dd : -2874;
85de : -2022;
85df : -1067;
85e0 : -840;
85e1 : -1275;
85e2 : -242;
85e3 : -1664;
85e4 : -3809;
85e5 : -4164;
85e6 : -3776;
85e7 : -3641;
85e8 : -1856;
85e9 : -283;
85ea : 1710;
85eb : 3877;
85ec : 2183;
85ed : -1843;
85ee : -4280;
85ef : -5414;
85f0 : -4925;
85f1 : -4560;
85f2 : -5630;
85f3 : -3880;
85f4 : -2618;
85f5 : -2235;
85f6 : -2682;
85f7 : -4091;
85f8 : -6323;
85f9 : -6936;
85fa : -6939;
85fb : -6459;
85fc : -7304;
85fd : -7222;
85fe : -7321;
85ff : -7442;
8600 : -6441;
8601 : -5570;
8602 : -5048;
8603 : -3154;
8604 : -2608;
8605 : -3173;
8606 : -2637;
8607 : -2330;
8608 : -1519;
8609 : -874;
860a : -2374;
860b : -3194;
860c : -2171;
860d : -1130;
860e : 490;
860f : 776;
8610 : 552;
8611 : 1667;
8612 : 2097;
8613 : 1346;
8614 : 2033;
8615 : 3415;
8616 : 3643;
8617 : 2682;
8618 : 2869;
8619 : 2121;
861a : 1493;
861b : 2493;
861c : 4250;
861d : 5380;
861e : 7415;
861f : 8426;
8620 : 10055;
8621 : 11468;
8622 : 9347;
8623 : 5870;
8624 : 3191;
8625 : 2114;
8626 : 3998;
8627 : 5214;
8628 : 4370;
8629 : 4898;
862a : 4788;
862b : 4218;
862c : 3926;
862d : 3144;
862e : 1525;
862f : 1574;
8630 : 1414;
8631 : 1909;
8632 : 1039;
8633 : 638;
8634 : 59;
8635 : 169;
8636 : 94;
8637 : 879;
8638 : 1131;
8639 : 1947;
863a : 1902;
863b : 1143;
863c : 449;
863d : 399;
863e : 45;
863f : -668;
8640 : -3075;
8641 : -5525;
8642 : -6483;
8643 : -6263;
8644 : -3979;
8645 : -2914;
8646 : -1785;
8647 : -439;
8648 : 29;
8649 : -962;
864a : -1260;
864b : -1248;
864c : -1469;
864d : -1375;
864e : -300;
864f : -510;
8650 : -1135;
8651 : -1801;
8652 : -2136;
8653 : -2421;
8654 : -2336;
8655 : -2449;
8656 : -195;
8657 : 2740;
8658 : 2050;
8659 : 1046;
865a : -569;
865b : -1819;
865c : -479;
865d : -188;
865e : -1012;
865f : -147;
8660 : -336;
8661 : 540;
8662 : 832;
8663 : 255;
8664 : -1022;
8665 : -1026;
8666 : -1617;
8667 : -900;
8668 : -1004;
8669 : -1409;
866a : -2466;
866b : -3213;
866c : -3284;
866d : -1319;
866e : 407;
866f : 1665;
8670 : 2582;
8671 : 1454;
8672 : -16;
8673 : -481;
8674 : -1190;
8675 : -1374;
8676 : -1829;
8677 : -2561;
8678 : -3018;
8679 : -2063;
867a : -378;
867b : -854;
867c : -797;
867d : -948;
867e : -307;
867f : 209;
8680 : 1079;
8681 : 2371;
8682 : 2803;
8683 : 1730;
8684 : 1727;
8685 : 621;
8686 : -493;
8687 : -1219;
8688 : -954;
8689 : 319;
868a : 1681;
868b : 2822;
868c : 4684;
868d : 6523;
868e : 5461;
868f : 3786;
8690 : 645;
8691 : -1674;
8692 : -1343;
8693 : -560;
8694 : -778;
8695 : 179;
8696 : 1146;
8697 : 2652;
8698 : 4038;
8699 : 3763;
869a : 2690;
869b : 2153;
869c : 1609;
869d : 1783;
869e : 1931;
869f : 2257;
86a0 : 2181;
86a1 : 2842;
86a2 : 3090;
86a3 : 2929;
86a4 : 2404;
86a5 : 2033;
86a6 : 1635;
86a7 : 1369;
86a8 : 1221;
86a9 : 2104;
86aa : 2975;
86ab : 3293;
86ac : 3403;
86ad : 2040;
86ae : 602;
86af : -180;
86b0 : 540;
86b1 : -569;
86b2 : -1275;
86b3 : -1114;
86b4 : 350;
86b5 : 441;
86b6 : 528;
86b7 : 494;
86b8 : 86;
86b9 : -906;
86ba : -814;
86bb : -920;
86bc : -1512;
86bd : -2255;
86be : -2567;
86bf : -2055;
86c0 : -1733;
86c1 : -1387;
86c2 : 863;
86c3 : 3745;
86c4 : 4057;
86c5 : 3535;
86c6 : 113;
86c7 : -2546;
86c8 : -2583;
86c9 : -2735;
86ca : -2255;
86cb : -1721;
86cc : -1711;
86cd : -1054;
86ce : -1074;
86cf : -1915;
86d0 : -3518;
86d1 : -4025;
86d2 : -4315;
86d3 : -4313;
86d4 : -3144;
86d5 : -2381;
86d6 : -2732;
86d7 : -3009;
86d8 : -3854;
86d9 : -4199;
86da : -4464;
86db : -4266;
86dc : -3345;
86dd : -2540;
86de : -2106;
86df : -1613;
86e0 : -378;
86e1 : -466;
86e2 : -1718;
86e3 : -3998;
86e4 : -6305;
86e5 : -6472;
86e6 : -3917;
86e7 : -2495;
86e8 : -1505;
86e9 : -308;
86ea : 1258;
86eb : 857;
86ec : 52;
86ed : 1063;
86ee : 2172;
86ef : 3607;
86f0 : 4612;
86f1 : 3901;
86f2 : 3098;
86f3 : 1335;
86f4 : -1007;
86f5 : -1066;
86f6 : -1428;
86f7 : -766;
86f8 : 1563;
86f9 : 4614;
86fa : 6240;
86fb : 6805;
86fc : 4618;
86fd : 2219;
86fe : 1143;
86ff : 165;
8700 : -316;
8701 : 15;
8702 : -180;
8703 : 1010;
8704 : 2788;
8705 : 2886;
8706 : 2447;
8707 : 1590;
8708 : 1352;
8709 : 1765;
870a : 2590;
870b : 2796;
870c : 2159;
870d : 1354;
870e : -47;
870f : -1079;
8710 : -1524;
8711 : -679;
8712 : 944;
8713 : 1957;
8714 : 2476;
8715 : 3486;
8716 : 4053;
8717 : 3789;
8718 : 2005;
8719 : -672;
871a : -2569;
871b : -2081;
871c : -108;
871d : 1286;
871e : 1099;
871f : 187;
8720 : -208;
8721 : -1169;
8722 : -848;
8723 : 877;
8724 : 2093;
8725 : 3479;
8726 : 4141;
8727 : 3467;
8728 : 1925;
8729 : -1336;
872a : -4645;
872b : -4931;
872c : -4153;
872d : -2569;
872e : 676;
872f : 4478;
8730 : 6689;
8731 : 7194;
8732 : 4057;
8733 : -47;
8734 : -2304;
8735 : -3160;
8736 : -3619;
8737 : -3203;
8738 : -3020;
8739 : -2000;
873a : -654;
873b : -1015;
873c : -1712;
873d : -1789;
873e : -1266;
873f : -597;
8740 : 208;
8741 : 663;
8742 : 869;
8743 : -491;
8744 : -2795;
8745 : -4400;
8746 : -5756;
8747 : -6455;
8748 : -5510;
8749 : -4159;
874a : -2470;
874b : -815;
874c : 261;
874d : -171;
874e : -897;
874f : -2709;
8750 : -5224;
8751 : -5740;
8752 : -5153;
8753 : -3403;
8754 : -3188;
8755 : -3357;
8756 : -2398;
8757 : -1997;
8758 : -1587;
8759 : -418;
875a : 775;
875b : 1838;
875c : 2421;
875d : 2441;
875e : 1889;
875f : -637;
8760 : -3020;
8761 : -3940;
8762 : -2894;
8763 : -1006;
8764 : 1933;
8765 : 5578;
8766 : 7344;
8767 : 7502;
8768 : 5128;
8769 : 1638;
876a : -420;
876b : -950;
876c : -437;
876d : 302;
876e : 1051;
876f : 1429;
8770 : 1532;
8771 : 1498;
8772 : 1380;
8773 : 831;
8774 : 1440;
8775 : 2807;
8776 : 4618;
8777 : 5531;
8778 : 5467;
8779 : 4374;
877a : 2580;
877b : 169;
877c : -1432;
877d : -1320;
877e : 331;
877f : 2659;
8780 : 4657;
8781 : 5823;
8782 : 5897;
8783 : 5382;
8784 : 3426;
8785 : 1035;
8786 : -1052;
8787 : -1604;
8788 : -407;
8789 : 1475;
878a : 1862;
878b : 1995;
878c : 1476;
878d : -28;
878e : -631;
878f : -84;
8790 : 719;
8791 : 1968;
8792 : 2680;
8793 : 2579;
8794 : 2527;
8795 : 474;
8796 : -2588;
8797 : -3919;
8798 : -4111;
8799 : -3315;
879a : -1457;
879b : 386;
879c : 2602;
879d : 3938;
879e : 2332;
879f : -465;
87a0 : -2510;
87a1 : -3547;
87a2 : -3931;
87a3 : -3649;
87a4 : -3093;
87a5 : -2268;
87a6 : -994;
87a7 : -990;
87a8 : -1738;
87a9 : -2951;
87aa : -3934;
87ab : -3568;
87ac : -2881;
87ad : -2432;
87ae : -2102;
87af : -2969;
87b0 : -4568;
87b1 : -5610;
87b2 : -6023;
87b3 : -5270;
87b4 : -4093;
87b5 : -2388;
87b6 : -890;
87b7 : -62;
87b8 : -107;
87b9 : -852;
87ba : -1986;
87bb : -3136;
87bc : -4774;
87bd : -4907;
87be : -3731;
87bf : -977;
87c0 : -131;
87c1 : -556;
87c2 : -1127;
87c3 : -2081;
87c4 : -2215;
87c5 : -1716;
87c6 : 25;
87c7 : 1928;
87c8 : 3067;
87c9 : 3092;
87ca : 2973;
87cb : 1016;
87cc : -1272;
87cd : -2393;
87ce : -1778;
87cf : 178;
87d0 : 3315;
87d1 : 6048;
87d2 : 7661;
87d3 : 8482;
87d4 : 6546;
87d5 : 3189;
87d6 : 36;
87d7 : -1739;
87d8 : -1466;
87d9 : -780;
87da : -65;
87db : 1575;
87dc : 2893;
87dd : 3720;
87de : 4002;
87df : 3129;
87e0 : 2188;
87e1 : 2364;
87e2 : 2828;
87e3 : 2794;
87e4 : 3301;
87e5 : 3226;
87e6 : 2541;
87e7 : 1929;
87e8 : 557;
87e9 : -256;
87ea : -153;
87eb : 720;
87ec : 1847;
87ed : 3022;
87ee : 3461;
87ef : 3702;
87f0 : 2974;
87f1 : 2217;
87f2 : 725;
87f3 : 79;
87f4 : 810;
87f5 : 1967;
87f6 : 2107;
87f7 : 1320;
87f8 : 1016;
87f9 : -64;
87fa : -523;
87fb : -1129;
87fc : -953;
87fd : -585;
87fe : -560;
87ff : -798;
8800 : -285;
8801 : -1093;
8802 : -2691;
8803 : -3715;
8804 : -3473;
8805 : -2603;
8806 : -113;
8807 : 2120;
8808 : 3376;
8809 : 4108;
880a : 2372;
880b : -516;
880c : -3011;
880d : -3912;
880e : -3366;
880f : -2259;
8810 : -1545;
8811 : -998;
8812 : -1708;
8813 : -2526;
8814 : -3046;
8815 : -3714;
8816 : -4299;
8817 : -3722;
8818 : -2437;
8819 : -1552;
881a : -1150;
881b : -1345;
881c : -2255;
881d : -3444;
881e : -4546;
881f : -5011;
8820 : -4588;
8821 : -3777;
8822 : -2410;
8823 : -1205;
8824 : -815;
8825 : -649;
8826 : -1026;
8827 : -2079;
8828 : -3139;
8829 : -4282;
882a : -3916;
882b : -2549;
882c : -1256;
882d : -740;
882e : -856;
882f : -1347;
8830 : -1703;
8831 : -2260;
8832 : -1390;
8833 : -255;
8834 : 1075;
8835 : 2028;
8836 : 3609;
8837 : 4102;
8838 : 3121;
8839 : 902;
883a : -863;
883b : -1113;
883c : 582;
883d : 3790;
883e : 6967;
883f : 8477;
8840 : 6580;
8841 : 4702;
8842 : 73;
8843 : -5717;
8844 : -6205;
8845 : -4472;
8846 : 390;
8847 : 5857;
8848 : 8756;
8849 : 8761;
884a : 5960;
884b : 3690;
884c : 2928;
884d : 2151;
884e : 4133;
884f : 4878;
8850 : 5842;
8851 : 4286;
8852 : 1611;
8853 : -412;
8854 : -2849;
8855 : -4226;
8856 : -3965;
8857 : -2217;
8858 : 2230;
8859 : 6654;
885a : 10617;
885b : 12634;
885c : 9873;
885d : 6798;
885e : -1740;
885f : -7770;
8860 : -9519;
8861 : -5986;
8862 : 49;
8863 : 4017;
8864 : 3481;
8865 : 99;
8866 : -3962;
8867 : -4164;
8868 : -2421;
8869 : 2275;
886a : 6126;
886b : 5876;
886c : 6035;
886d : 2961;
886e : -2262;
886f : -6274;
8870 : -11148;
8871 : -12789;
8872 : -9025;
8873 : -3866;
8874 : 3199;
8875 : 10253;
8876 : 14859;
8877 : 11292;
8878 : 5744;
8879 : -2557;
887a : -10181;
887b : -13288;
887c : -13367;
887d : -8561;
887e : -3051;
887f : -372;
8880 : -313;
8881 : -4120;
8882 : -6742;
8883 : -5624;
8884 : -2184;
8885 : 2588;
8886 : 5739;
8887 : 7006;
8888 : 6461;
8889 : 1598;
888a : -3906;
888b : -9300;
888c : -14825;
888d : -15245;
888e : -12574;
888f : -6917;
8890 : 288;
8891 : 8196;
8892 : 10825;
8893 : 8483;
8894 : 3863;
8895 : -3807;
8896 : -8886;
8897 : -10110;
8898 : -7814;
8899 : -2715;
889a : -258;
889b : 101;
889c : -2262;
889d : -5448;
889e : -4582;
889f : -811;
88a0 : 3672;
88a1 : 6283;
88a2 : 9031;
88a3 : 9852;
88a4 : 6152;
88a5 : 241;
88a6 : -4426;
88a7 : -9572;
88a8 : -9117;
88a9 : -5305;
88aa : 798;
88ab : 7252;
88ac : 13705;
88ad : 15921;
88ae : 12425;
88af : 7834;
88b0 : 1356;
88b1 : -3986;
88b2 : -7193;
88b3 : -6819;
88b4 : -2310;
88b5 : 1985;
88b6 : 4487;
88b7 : 4032;
88b8 : 312;
88b9 : -1409;
88ba : -111;
88bb : 3770;
88bc : 8064;
88bd : 10463;
88be : 11639;
88bf : 8633;
88c0 : 2495;
88c1 : -1453;
88c2 : -5985;
88c3 : -9034;
88c4 : -7441;
88c5 : -3607;
88c6 : 1765;
88c7 : 7513;
88c8 : 12333;
88c9 : 12258;
88ca : 10848;
88cb : 5120;
88cc : -2636;
88cd : -6538;
88ce : -7835;
88cf : -4059;
88d0 : -65;
88d1 : 1848;
88d2 : 1791;
88d3 : -993;
88d4 : -4295;
88d5 : -4169;
88d6 : -1706;
88d7 : 2134;
88d8 : 6138;
88d9 : 8884;
88da : 8852;
88db : 3945;
88dc : -1871;
88dd : -7216;
88de : -10625;
88df : -9257;
88e0 : -5754;
88e1 : -893;
88e2 : 4073;
88e3 : 8639;
88e4 : 8940;
88e5 : 6490;
88e6 : 2477;
88e7 : -4758;
88e8 : -8620;
88e9 : -10456;
88ea : -7945;
88eb : -2982;
88ec : 1056;
88ed : 2720;
88ee : 244;
88ef : -3357;
88f0 : -5610;
88f1 : -6113;
88f2 : -2997;
88f3 : -524;
88f4 : 2405;
88f5 : 3599;
88f6 : 924;
88f7 : -2660;
88f8 : -5672;
88f9 : -9660;
88fa : -9997;
88fb : -8608;
88fc : -5434;
88fd : -849;
88fe : 4088;
88ff : 6293;
8900 : 5653;
8901 : 3062;
8902 : -3401;
8903 : -7491;
8904 : -9963;
8905 : -8548;
8906 : -4364;
8907 : -1722;
8908 : -742;
8909 : -1735;
890a : -3947;
890b : -3180;
890c : -2499;
890d : -128;
890e : 2172;
890f : 4840;
8910 : 6994;
8911 : 4960;
8912 : 422;
8913 : -2325;
8914 : -4332;
8915 : -4107;
8916 : -777;
8917 : 2055;
8918 : 5450;
8919 : 9683;
891a : 11288;
891b : 9073;
891c : 6880;
891d : 1940;
891e : -2700;
891f : -5070;
8920 : -3894;
8921 : 115;
8922 : 4698;
8923 : 6754;
8924 : 6861;
8925 : 2919;
8926 : 95;
8927 : -679;
8928 : 992;
8929 : 5154;
892a : 4431;
892b : 5283;
892c : 5120;
892d : 1603;
892e : 226;
892f : -3233;
8930 : -5663;
8931 : -3009;
8932 : -3924;
8933 : -1932;
8934 : -914;
8935 : 3921;
8936 : 8306;
8937 : 6058;
8938 : 3797;
8939 : -1326;
893a : -4919;
893b : -4410;
893c : -5750;
893d : -1198;
893e : 1688;
893f : 3832;
8940 : 5668;
8941 : 2678;
8942 : 2929;
8943 : 4464;
8944 : 6399;
8945 : 5532;
8946 : 6066;
8947 : 7541;
8948 : 4434;
8949 : 1265;
894a : 807;
894b : -1147;
894c : -2695;
894d : -59;
894e : -771;
894f : 2693;
8950 : 3748;
8951 : 3918;
8952 : 3081;
8953 : 1056;
8954 : -2672;
8955 : -6368;
8956 : -6593;
8957 : -7180;
8958 : -4524;
8959 : -3033;
895a : -1961;
895b : -3229;
895c : -6105;
895d : -8143;
895e : -7460;
895f : -6340;
8960 : -6563;
8961 : -6738;
8962 : -6062;
8963 : -5414;
8964 : -5691;
8965 : -5280;
8966 : -6121;
8967 : -8274;
8968 : -8733;
8969 : -7583;
896a : -6058;
896b : 327;
896c : 3807;
896d : 5198;
896e : 5967;
896f : 3610;
8970 : -282;
8971 : -3674;
8972 : -5331;
8973 : -2217;
8974 : 1349;
8975 : 2681;
8976 : 1965;
8977 : 258;
8978 : 521;
8979 : 1902;
897a : 1924;
897b : 3773;
897c : 5189;
897d : 5828;
897e : 7745;
897f : 4357;
8980 : 2584;
8981 : -2125;
8982 : -4812;
8983 : -3501;
8984 : -3448;
8985 : -1840;
8986 : 2125;
8987 : 4187;
8988 : 2716;
8989 : 4276;
898a : 3059;
898b : 1370;
898c : -774;
898d : -3808;
898e : -2026;
898f : -829;
8990 : 660;
8991 : 3442;
8992 : 1673;
8993 : 229;
8994 : 1492;
8995 : 762;
8996 : 1541;
8997 : 455;
8998 : 1800;
8999 : 4364;
899a : 5985;
899b : 7124;
899c : 4845;
899d : 1153;
899e : -959;
899f : -256;
89a0 : 2679;
89a1 : 4249;
89a2 : 8670;
89a3 : 6859;
89a4 : 6963;
89a5 : 5989;
89a6 : 3022;
89a7 : 1227;
89a8 : -474;
89a9 : -1853;
89aa : -1538;
89ab : -1108;
89ac : -1090;
89ad : -1260;
89ae : -2240;
89af : 693;
89b0 : 396;
89b1 : -187;
89b2 : -1107;
89b3 : -899;
89b4 : 1447;
89b5 : -765;
89b6 : 964;
89b7 : 2476;
89b8 : 517;
89b9 : 555;
89ba : -1577;
89bb : -2244;
89bc : -1787;
89bd : 880;
89be : 439;
89bf : -378;
89c0 : -541;
89c1 : 30;
89c2 : -1220;
89c3 : -2827;
89c4 : -2851;
89c5 : -3259;
89c6 : -3097;
89c7 : -2463;
89c8 : -3067;
89c9 : -4601;
89ca : -3503;
89cb : -2695;
89cc : -2416;
89cd : -1730;
89ce : -1300;
89cf : -1364;
89d0 : -1085;
89d1 : -1163;
89d2 : -1350;
89d3 : -4912;
89d4 : -7820;
89d5 : -6602;
89d6 : -5987;
89d7 : -4081;
89d8 : -819;
89d9 : 1834;
89da : 1162;
89db : 2358;
89dc : 27;
89dd : 107;
89de : -2791;
89df : -5936;
89e0 : -6328;
89e1 : -5248;
89e2 : -3497;
89e3 : -2795;
89e4 : -2245;
89e5 : -1668;
89e6 : -1139;
89e7 : -182;
89e8 : -1626;
89e9 : -623;
89ea : -389;
89eb : 280;
89ec : 462;
89ed : 3442;
89ee : 4713;
89ef : 3093;
89f0 : 1710;
89f1 : 1503;
89f2 : 1645;
89f3 : 2359;
89f4 : 4226;
89f5 : 4609;
89f6 : 5912;
89f7 : 5368;
89f8 : 3656;
89f9 : 782;
89fa : -2064;
89fb : -2252;
89fc : -219;
89fd : 550;
89fe : 2340;
89ff : 1978;
8a00 : 2117;
8a01 : 3217;
8a02 : 4809;
8a03 : 4942;
8a04 : 3724;
8a05 : 1725;
8a06 : 2098;
8a07 : 1273;
8a08 : 2925;
8a09 : 3589;
8a0a : 1569;
8a0b : 642;
8a0c : 1168;
8a0d : 2081;
8a0e : 2758;
8a0f : 5136;
8a10 : 4961;
8a11 : 6214;
8a12 : 6189;
8a13 : 4559;
8a14 : 1599;
8a15 : -2656;
8a16 : -3820;
8a17 : -4249;
8a18 : -2275;
8a19 : 184;
8a1a : 456;
8a1b : 126;
8a1c : 82;
8a1d : 679;
8a1e : 1953;
8a1f : 1613;
8a20 : 255;
8a21 : 643;
8a22 : 695;
8a23 : -1814;
8a24 : 598;
8a25 : -1336;
8a26 : -2299;
8a27 : -1018;
8a28 : -895;
8a29 : -867;
8a2a : -6;
8a2b : 1268;
8a2c : 340;
8a2d : 2397;
8a2e : 2045;
8a2f : 1526;
8a30 : -1013;
8a31 : -4165;
8a32 : -3894;
8a33 : -2214;
8a34 : -3419;
8a35 : -3280;
8a36 : -3835;
8a37 : -4136;
8a38 : -2578;
8a39 : -678;
8a3a : -1029;
8a3b : -2078;
8a3c : -1688;
8a3d : -1634;
8a3e : -1529;
8a3f : -2724;
8a40 : -4620;
8a41 : -7143;
8a42 : -8324;
8a43 : -7232;
8a44 : -4758;
8a45 : -2328;
8a46 : 37;
8a47 : -49;
8a48 : -1304;
8a49 : 1097;
8a4a : 348;
8a4b : -2476;
8a4c : -5472;
8a4d : -7551;
8a4e : -5367;
8a4f : -3861;
8a50 : -2863;
8a51 : -1810;
8a52 : -651;
8a53 : 415;
8a54 : 943;
8a55 : 1938;
8a56 : 58;
8a57 : -735;
8a58 : 115;
8a59 : 139;
8a5a : 1665;
8a5b : 3518;
8a5c : 2401;
8a5d : 1522;
8a5e : 2274;
8a5f : 1667;
8a60 : 653;
8a61 : 2593;
8a62 : 3125;
8a63 : 3374;
8a64 : 5453;
8a65 : 4856;
8a66 : 3713;
8a67 : 527;
8a68 : -183;
8a69 : 971;
8a6a : 1279;
8a6b : 2408;
8a6c : 1548;
8a6d : 890;
8a6e : 2457;
8a6f : 3209;
8a70 : 4677;
8a71 : 3799;
8a72 : 2310;
8a73 : 3333;
8a74 : 4214;
8a75 : 2702;
8a76 : 2438;
8a77 : 1286;
8a78 : -1580;
8a79 : -1393;
8a7a : -68;
8a7b : 575;
8a7c : 2753;
8a7d : 4466;
8a7e : 3519;
8a7f : 4993;
8a80 : 5075;
8a81 : 3361;
8a82 : 1198;
8a83 : -1925;
8a84 : -934;
8a85 : -678;
8a86 : 509;
8a87 : 1189;
8a88 : 66;
8a89 : -239;
8a8a : -509;
8a8b : 371;
8a8c : -744;
8a8d : -1999;
8a8e : -811;
8a8f : 642;
8a90 : 2473;
8a91 : 4555;
8a92 : 3593;
8a93 : 557;
8a94 : -798;
8a95 : -1395;
8a96 : -2471;
8a97 : -1647;
8a98 : 797;
8a99 : 895;
8a9a : 1765;
8a9b : 2626;
8a9c : 1055;
8a9d : -979;
8a9e : -3752;
8a9f : -4844;
8aa0 : -4065;
8aa1 : -3926;
8aa2 : -3723;
8aa3 : -4043;
8aa4 : -3092;
8aa5 : -1648;
8aa6 : -1546;
8aa7 : -1190;
8aa8 : -3443;
8aa9 : -3667;
8aaa : -983;
8aab : -1380;
8aac : -1445;
8aad : -2449;
8aae : -5934;
8aaf : -7137;
8ab0 : -5854;
8ab1 : -4442;
8ab2 : -3083;
8ab3 : -402;
8ab4 : -674;
8ab5 : -466;
8ab6 : 636;
8ab7 : -257;
8ab8 : -1434;
8ab9 : -4215;
8aba : -5123;
8abb : -4619;
8abc : -3710;
8abd : -2225;
8abe : -1476;
8abf : -1619;
8ac0 : -1351;
8ac1 : -742;
8ac2 : 726;
8ac3 : 121;
8ac4 : -443;
8ac5 : 1368;
8ac6 : 1961;
8ac7 : 2947;
8ac8 : 4395;
8ac9 : 2455;
8aca : 710;
8acb : 62;
8acc : 20;
8acd : 478;
8ace : 1821;
8acf : 2489;
8ad0 : 2142;
8ad1 : 3772;
8ad2 : 4293;
8ad3 : 3331;
8ad4 : 2909;
8ad5 : 1250;
8ad6 : 1193;
8ad7 : 859;
8ad8 : 384;
8ad9 : -149;
8ada : 30;
8adb : 724;
8adc : 1788;
8add : 3298;
8ade : 3091;
8adf : 1521;
8ae0 : 2470;
8ae1 : 3722;
8ae2 : 3724;
8ae3 : 4564;
8ae4 : 3003;
8ae5 : 1552;
8ae6 : 840;
8ae7 : 667;
8ae8 : 1482;
8ae9 : 2365;
8aea : 3399;
8aeb : 2882;
8aec : 5135;
8aed : 5698;
8aee : 4536;
8aef : 1744;
8af0 : -232;
8af1 : -1142;
8af2 : -966;
8af3 : -605;
8af4 : 19;
8af5 : 197;
8af6 : -1008;
8af7 : -1397;
8af8 : -485;
8af9 : 344;
8afa : -998;
8afb : -1352;
8afc : 110;
8afd : 1663;
8afe : 3786;
8aff : 3952;
8b00 : 1830;
8b01 : -287;
8b02 : -1207;
8b03 : -2032;
8b04 : -2196;
8b05 : -660;
8b06 : -256;
8b07 : 320;
8b08 : 1718;
8b09 : 780;
8b0a : -445;
8b0b : -2409;
8b0c : -3836;
8b0d : -3477;
8b0e : -4007;
8b0f : -3610;
8b10 : -3282;
8b11 : -3150;
8b12 : -2353;
8b13 : -488;
8b14 : 40;
8b15 : -1077;
8b16 : -2351;
8b17 : -2671;
8b18 : -3064;
8b19 : -3344;
8b1a : -3463;
8b1b : -5160;
8b1c : -6056;
8b1d : -6115;
8b1e : -4619;
8b1f : -3864;
8b20 : -2180;
8b21 : -802;
8b22 : 111;
8b23 : 1336;
8b24 : 1243;
8b25 : -646;
8b26 : -2297;
8b27 : -3984;
8b28 : -3424;
8b29 : -2953;
8b2a : -1956;
8b2b : -1134;
8b2c : -2172;
8b2d : -2584;
8b2e : -1926;
8b2f : -713;
8b30 : -437;
8b31 : -1609;
8b32 : -934;
8b33 : 722;
8b34 : 1757;
8b35 : 3822;
8b36 : 3166;
8b37 : 1296;
8b38 : -272;
8b39 : 59;
8b3a : 215;
8b3b : 1504;
8b3c : 3335;
8b3d : 4068;
8b3e : 5099;
8b3f : 4553;
8b40 : 3953;
8b41 : 2564;
8b42 : 640;
8b43 : 229;
8b44 : 31;
8b45 : -141;
8b46 : 404;
8b47 : -354;
8b48 : 562;
8b49 : 1803;
8b4a : 3162;
8b4b : 4065;
8b4c : 3538;
8b4d : 3337;
8b4e : 3782;
8b4f : 2596;
8b50 : 2538;
8b51 : 1888;
8b52 : 254;
8b53 : -685;
8b54 : 190;
8b55 : 1286;
8b56 : 1572;
8b57 : 3122;
8b58 : 3292;
8b59 : 3627;
8b5a : 4695;
8b5b : 3904;
8b5c : 2719;
8b5d : 1207;
8b5e : 521;
8b5f : 406;
8b60 : 191;
8b61 : 475;
8b62 : -241;
8b63 : -1133;
8b64 : -957;
8b65 : 558;
8b66 : 1780;
8b67 : 959;
8b68 : -380;
8b69 : 497;
8b6a : 656;
8b6b : 1497;
8b6c : 2597;
8b6d : 1238;
8b6e : -1134;
8b6f : -2180;
8b70 : -1928;
8b71 : -2674;
8b72 : -1112;
8b73 : 98;
8b74 : 705;
8b75 : 1422;
8b76 : 2044;
8b77 : 1755;
8b78 : 2463;
8b79 : 2313;
8b7a : -626;
8b7b : -4525;
8b7c : -5552;
8b7d : -7681;
8b7e : -12378;
8b7f : -11780;
8b80 : -8246;
8b81 : -1197;
8b82 : 5647;
8b83 : 7788;
8b84 : 6582;
8b85 : 1046;
8b86 : -3100;
8b87 : -2158;
8b88 : -3202;
8b89 : -3605;
8b8a : -3461;
8b8b : -3487;
8b8c : -7875;
8b8d : -7788;
8b8e : -1293;
8b8f : -345;
8b90 : -330;
8b91 : 4562;
8b92 : 6286;
8b93 : 1814;
8b94 : -5905;
8b95 : -12014;
8b96 : -13555;
8b97 : -9124;
8b98 : -1911;
8b99 : 2370;
8b9a : 1292;
8b9b : -1263;
8b9c : -1708;
8b9d : -2944;
8b9e : -4355;
8b9f : -471;
8ba0 : 5139;
8ba1 : 5793;
8ba2 : 4201;
8ba3 : 8547;
8ba4 : 9226;
8ba5 : 2132;
8ba6 : 506;
8ba7 : 1365;
8ba8 : 381;
8ba9 : -3256;
8baa : -7539;
8bab : -8420;
8bac : -4511;
8bad : 2010;
8bae : 7836;
8baf : 8824;
8bb0 : 6570;
8bb1 : 4849;
8bb2 : 2628;
8bb3 : -1917;
8bb4 : -2481;
8bb5 : 239;
8bb6 : 1878;
8bb7 : -1128;
8bb8 : 209;
8bb9 : 7587;
8bba : 7413;
8bbb : 4676;
8bbc : 6394;
8bbd : 9198;
8bbe : 5704;
8bbf : -2971;
8bc0 : -9783;
8bc1 : -10976;
8bc2 : -6164;
8bc3 : 890;
8bc4 : 8006;
8bc5 : 8020;
8bc6 : 6586;
8bc7 : 7150;
8bc8 : 3122;
8bc9 : 1478;
8bca : 3495;
8bcb : 4040;
8bcc : 1210;
8bcd : -3569;
8bce : 636;
8bcf : 4542;
8bd0 : -615;
8bd1 : -1587;
8bd2 : 3574;
8bd3 : 5632;
8bd4 : 727;
8bd5 : -5599;
8bd6 : -7940;
8bd7 : -7735;
8bd8 : -2172;
8bd9 : 4779;
8bda : 6011;
8bdb : 1723;
8bdc : 1689;
8bdd : 407;
8bde : -3965;
8bdf : -1063;
8be0 : 2784;
8be1 : 2220;
8be2 : -2704;
8be3 : -3042;
8be4 : 4560;
8be5 : 5312;
8be6 : -468;
8be7 : 527;
8be8 : 3624;
8be9 : -904;
8bea : -8334;
8beb : -12319;
8bec : -13815;
8bed : -10214;
8bee : -2728;
8bef : 3462;
8bf0 : 2771;
8bf1 : 1253;
8bf2 : 1296;
8bf3 : -3774;
8bf4 : -5700;
8bf5 : -2312;
8bf6 : -936;
8bf7 : -3609;
8bf8 : -7729;
8bf9 : -3920;
8bfa : 2584;
8bfb : 892;
8bfc : -949;
8bfd : 3770;
8bfe : 5456;
8bff : -1384;
8c00 : -6642;
8c01 : -10856;
8c02 : -11801;
8c03 : -7845;
8c04 : -2268;
8c05 : 1110;
8c06 : -857;
8c07 : -627;
8c08 : -123;
8c09 : -2692;
8c0a : -4;
8c0b : 3262;
8c0c : 3523;
8c0d : -1214;
8c0e : -2929;
8c0f : 4712;
8c10 : 6103;
8c11 : 851;
8c12 : 974;
8c13 : 6342;
8c14 : 4844;
8c15 : -1654;
8c16 : -3186;
8c17 : -5058;
8c18 : -3258;
8c19 : 2102;
8c1a : 6852;
8c1b : 8169;
8c1c : 3550;
8c1d : 2064;
8c1e : -813;
8c1f : -2838;
8c20 : 1491;
8c21 : 4738;
8c22 : 2264;
8c23 : -3395;
8c24 : 553;
8c25 : 8031;
8c26 : 6574;
8c27 : 2835;
8c28 : 5136;
8c29 : 7644;
8c2a : 2502;
8c2b : -1553;
8c2c : -5206;
8c2d : -8239;
8c2e : -4444;
8c2f : 1283;
8c30 : 6359;
8c31 : 7866;
8c32 : 7047;
8c33 : 5946;
8c34 : 1760;
8c35 : 2525;
8c36 : 6092;
8c37 : 5251;
8c38 : -1776;
8c39 : -5215;
8c3a : 1290;
8c3b : 4606;
8c3c : 968;
8c3d : -952;
8c3e : 2640;
8c3f : 4498;
8c40 : -199;
8c41 : -2980;
8c42 : -7216;
8c43 : -6054;
8c44 : -1795;
8c45 : 2524;
8c46 : 5675;
8c47 : 2591;
8c48 : -56;
8c49 : -2485;
8c4a : -3708;
8c4b : -31;
8c4c : 3442;
8c4d : 2693;
8c4e : -2887;
8c4f : -415;
8c50 : 6556;
8c51 : 4752;
8c52 : -395;
8c53 : -1935;
8c54 : 1603;
8c55 : -1441;
8c56 : -6452;
8c57 : -8113;
8c58 : -10449;
8c59 : -7565;
8c5a : -3259;
8c5b : 1447;
8c5c : 2594;
8c5d : -984;
8c5e : -2025;
8c5f : -4154;
8c60 : -3824;
8c61 : -126;
8c62 : -667;
8c63 : -6823;
8c64 : -10298;
8c65 : -2571;
8c66 : 2121;
8c67 : -637;
8c68 : 141;
8c69 : 4194;
8c6a : 6317;
8c6b : 448;
8c6c : -3574;
8c6d : -6989;
8c6e : -8919;
8c6f : -5761;
8c70 : -1939;
8c71 : 1471;
8c72 : -576;
8c73 : -3816;
8c74 : -5375;
8c75 : -6135;
8c76 : -1033;
8c77 : 3497;
8c78 : 1487;
8c79 : -3043;
8c7a : -178;
8c7b : 7152;
8c7c : 5978;
8c7d : 1273;
8c7e : 373;
8c7f : 3267;
8c80 : 2619;
8c81 : -2179;
8c82 : -3242;
8c83 : -4398;
8c84 : -1810;
8c85 : 1147;
8c86 : 4745;
8c87 : 7009;
8c88 : 3837;
8c89 : 1460;
8c8a : -985;
8c8b : -427;
8c8c : 3560;
8c8d : 3697;
8c8e : -333;
8c8f : -3868;
8c90 : 1349;
8c91 : 6557;
8c92 : 4472;
8c93 : 3035;
8c94 : 5484;
8c95 : 8408;
8c96 : 2030;
8c97 : -2643;
8c98 : -3698;
8c99 : -5076;
8c9a : -2947;
8c9b : -62;
8c9c : 4492;
8c9d : 4108;
8c9e : 2062;
8c9f : 2722;
8ca0 : 1004;
8ca1 : 3784;
8ca2 : 6540;
8ca3 : 4738;
8ca4 : 138;
8ca5 : -435;
8ca6 : 6451;
8ca7 : 6219;
8ca8 : 132;
8ca9 : -836;
8caa : 2650;
8cab : 2006;
8cac : -3809;
8cad : -4072;
8cae : -4748;
8caf : -4690;
8cb0 : -664;
8cb1 : 3089;
8cb2 : 5977;
8cb3 : 3242;
8cb4 : 1032;
8cb5 : -1669;
8cb6 : -3402;
8cb7 : 694;
8cb8 : 852;
8cb9 : -1979;
8cba : -3280;
8cbb : 2112;
8cbc : 8733;
8cbd : 5000;
8cbe : 221;
8cbf : 1650;
8cc0 : 3341;
8cc1 : -1828;
8cc2 : -6753;
8cc3 : -7667;
8cc4 : -8652;
8cc5 : -6288;
8cc6 : -3947;
8cc7 : -998;
8cc8 : 243;
8cc9 : -1178;
8cca : -1624;
8ccb : -3450;
8ccc : -1943;
8ccd : 1078;
8cce : -433;
8ccf : -4099;
8cd0 : -5922;
8cd1 : -756;
8cd2 : 1361;
8cd3 : -4430;
8cd4 : -5109;
8cd5 : 1450;
8cd6 : 3645;
8cd7 : -2042;
8cd8 : -3933;
8cd9 : -4847;
8cda : -5086;
8cdb : -4149;
8cdc : -3248;
8cdd : -194;
8cde : -902;
8cdf : -2745;
8ce0 : -3391;
8ce1 : -5283;
8ce2 : -2605;
8ce3 : -491;
8ce4 : -1271;
8ce5 : -3213;
8ce6 : 948;
8ce7 : 9638;
8ce8 : 6812;
8ce9 : 462;
8cea : 1612;
8ceb : 4906;
8cec : 867;
8ced : -4110;
8cee : -3932;
8cef : -4830;
8cf0 : -2233;
8cf1 : 794;
8cf2 : 3018;
8cf3 : 5414;
8cf4 : 3478;
8cf5 : 3324;
8cf6 : 1463;
8cf7 : -377;
8cf8 : 2126;
8cf9 : 1421;
8cfa : -1827;
8cfb : -2097;
8cfc : 4766;
8cfd : 8891;
8cfe : 2995;
8cff : 988;
8d00 : 5253;
8d01 : 7193;
8d02 : 2882;
8d03 : -440;
8d04 : -1785;
8d05 : -3047;
8d06 : -2158;
8d07 : -1649;
8d08 : 549;
8d09 : 1375;
8d0a : -119;
8d0b : 1866;
8d0c : 2529;
8d0d : 4527;
8d0e : 7055;
8d0f : 4879;
8d10 : 1626;
8d11 : 2763;
8d12 : 8160;
8d13 : 6439;
8d14 : -516;
8d15 : -1377;
8d16 : 2247;
8d17 : 1005;
8d18 : -4092;
8d19 : -4792;
8d1a : -5109;
8d1b : -4254;
8d1c : -2016;
8d1d : 2129;
8d1e : 6405;
8d1f : 4081;
8d20 : 2353;
8d21 : 1025;
8d22 : -1786;
8d23 : -798;
8d24 : 161;
8d25 : -2859;
8d26 : -4104;
8d27 : 2863;
8d28 : 8536;
8d29 : 4113;
8d2a : -226;
8d2b : 2462;
8d2c : 5130;
8d2d : -464;
8d2e : -5663;
8d2f : -6636;
8d30 : -8411;
8d31 : -7420;
8d32 : -4510;
8d33 : -1459;
8d34 : -850;
8d35 : -2658;
8d36 : -852;
8d37 : -2183;
8d38 : -2023;
8d39 : 990;
8d3a : -847;
8d3b : -4844;
8d3c : -4677;
8d3d : 1787;
8d3e : 1729;
8d3f : -4532;
8d40 : -5113;
8d41 : -455;
8d42 : 2002;
8d43 : -1731;
8d44 : -3589;
8d45 : -4413;
8d46 : -5614;
8d47 : -3427;
8d48 : -1074;
8d49 : 580;
8d4a : -955;
8d4b : -2825;
8d4c : -3054;
8d4d : -4041;
8d4e : -1640;
8d4f : 120;
8d50 : -2406;
8d51 : -4580;
8d52 : -612;
8d53 : 5587;
8d54 : 4185;
8d55 : -246;
8d56 : 1345;
8d57 : 5350;
8d58 : 2832;
8d59 : -2053;
8d5a : -2828;
8d5b : -3708;
8d5c : -4192;
8d5d : -358;
8d5e : 4470;
8d5f : 5678;
8d60 : 3053;
8d61 : 2374;
8d62 : 1756;
8d63 : 1620;
8d64 : 2595;
8d65 : 1369;
8d66 : -2390;
8d67 : -3183;
8d68 : 3730;
8d69 : 6893;
8d6a : 1226;
8d6b : -276;
8d6c : 4758;
8d6d : 7588;
8d6e : 2561;
8d6f : 453;
8d70 : -85;
8d71 : -2648;
8d72 : -2419;
8d73 : 903;
8d74 : 2946;
8d75 : 948;
8d76 : -451;
8d77 : 112;
8d78 : 519;
8d79 : 3984;
8d7a : 5461;
8d7b : 3312;
8d7c : 247;
8d7d : 2837;
8d7e : 9108;
8d7f : 6157;
8d80 : 411;
8d81 : 887;
8d82 : 3908;
8d83 : 1855;
8d84 : -2419;
8d85 : -2725;
8d86 : -3908;
8d87 : -5244;
8d88 : -2192;
8d89 : 1384;
8d8a : 3417;
8d8b : 1915;
8d8c : 153;
8d8d : -473;
8d8e : -48;
8d8f : 1891;
8d90 : 1313;
8d91 : -1165;
8d92 : -2666;
8d93 : 1817;
8d94 : 6394;
8d95 : 2107;
8d96 : -759;
8d97 : 1733;
8d98 : 3907;
8d99 : 560;
8d9a : -2304;
8d9b : -3685;
8d9c : -6082;
8d9d : -6841;
8d9e : -3254;
8d9f : 190;
8da0 : -643;
8da1 : -3556;
8da2 : -3531;
8da3 : -2457;
8da4 : -890;
8da5 : -238;
8da6 : -1329;
8da7 : -4684;
8da8 : -4837;
8da9 : 926;
8daa : 1275;
8dab : -4039;
8dac : -3978;
8dad : 446;
8dae : 730;
8daf : -1777;
8db0 : -1790;
8db1 : -3818;
8db2 : -6068;
8db3 : -4501;
8db4 : -298;
8db5 : 2086;
8db6 : -261;
8db7 : -1909;
8db8 : -2795;
8db9 : -3747;
8dba : -2678;
8dbb : -2423;
8dbc : -4316;
8dbd : -6104;
8dbe : -1363;
8dbf : 5450;
8dc0 : 3355;
8dc1 : 1296;
8dc2 : 2770;
8dc3 : 3960;
8dc4 : 807;
8dc5 : -938;
8dc6 : -746;
8dc7 : -2971;
8dc8 : -3136;
8dc9 : -856;
8dca : 2683;
8dcb : 4123;
8dcc : 1296;
8dcd : 412;
8dce : 267;
8dcf : 1394;
8dd0 : 3459;
8dd1 : 1995;
8dd2 : -976;
8dd3 : -1371;
8dd4 : 4160;
8dd5 : 5992;
8dd6 : 1350;
8dd7 : 264;
8dd8 : 2923;
8dd9 : 4868;
8dda : 3397;
8ddb : 2132;
8ddc : 827;
8ddd : -1726;
8dde : -2788;
8ddf : -101;
8de0 : 3118;
8de1 : 2159;
8de2 : -285;
8de3 : 458;
8de4 : 498;
8de5 : 2120;
8de6 : 3356;
8de7 : 1335;
8de8 : -1011;
8de9 : 2034;
8dea : 8112;
8deb : 7105;
8dec : 3149;
8ded : 3155;
8dee : 5093;
8def : 2322;
8df0 : -1339;
8df1 : -1735;
8df2 : -3627;
8df3 : -5890;
8df4 : -4118;
8df5 : 1397;
8df6 : 4051;
8df7 : 2178;
8df8 : 1495;
8df9 : -175;
8dfa : -1185;
8dfb : -79;
8dfc : 279;
8dfd : -2526;
8dfe : -2712;
8dff : 2776;
8e00 : 5412;
8e01 : 2264;
8e02 : 866;
8e03 : 2209;
8e04 : 3592;
8e05 : 513;
8e06 : -363;
8e07 : -606;
8e08 : -4419;
8e09 : -6239;
8e0a : -3811;
8e0b : -742;
8e0c : -1862;
8e0d : -5067;
8e0e : -4756;
8e0f : -3756;
8e10 : -269;
8e11 : 1617;
8e12 : 189;
8e13 : -1836;
8e14 : -2103;
8e15 : 1196;
8e16 : -660;
8e17 : -3845;
8e18 : -3555;
8e19 : -613;
8e1a : -347;
8e1b : -2965;
8e1c : -2646;
8e1d : -4135;
8e1e : -7202;
8e1f : -6366;
8e20 : -2482;
8e21 : 951;
8e22 : 41;
8e23 : -1305;
8e24 : -1912;
8e25 : -2908;
8e26 : -2527;
8e27 : -2980;
8e28 : -5833;
8e29 : -7326;
8e2a : -4380;
8e2b : 403;
8e2c : 701;
8e2d : 219;
8e2e : 2787;
8e2f : 5263;
8e30 : 1231;
8e31 : -934;
8e32 : 664;
8e33 : -2023;
8e34 : -4126;
8e35 : -690;
8e36 : 2024;
8e37 : 3111;
8e38 : 2937;
8e39 : 2539;
8e3a : 1995;
8e3b : 2919;
8e3c : 4521;
8e3d : 5109;
8e3e : 2786;
8e3f : 1454;
8e40 : 5715;
8e41 : 6171;
8e42 : 2116;
8e43 : 1656;
8e44 : 3210;
8e45 : 3417;
8e46 : 1902;
8e47 : 4109;
8e48 : 3624;
8e49 : 728;
8e4a : 652;
8e4b : 1814;
8e4c : 3272;
8e4d : 2059;
8e4e : 1193;
8e4f : 726;
8e50 : 1267;
8e51 : 4368;
8e52 : 5410;
8e53 : 4033;
8e54 : 1698;
8e55 : 3240;
8e56 : 6725;
8e57 : 4589;
8e58 : 3583;
8e59 : 5052;
8e5a : 6560;
8e5b : 3124;
8e5c : -1288;
8e5d : -2570;
8e5e : -4738;
8e5f : -6712;
8e60 : -4911;
8e61 : -2587;
8e62 : 762;
8e63 : 1578;
8e64 : 1289;
8e65 : 330;
8e66 : -977;
8e67 : -1267;
8e68 : -2501;
8e69 : -4149;
8e6a : -5753;
8e6b : -2165;
8e6c : -305;
8e6d : -3657;
8e6e : -3115;
8e6f : -76;
8e70 : 244;
8e71 : -3473;
8e72 : -5078;
8e73 : -4707;
8e74 : -6149;
8e75 : -6290;
8e76 : -4258;
8e77 : -2395;
8e78 : -2958;
8e79 : -4562;
8e7a : -5109;
8e7b : -5226;
8e7c : -3319;
8e7d : -1295;
8e7e : -1681;
8e7f : -3660;
8e80 : -1925;
8e81 : 1261;
8e82 : -2133;
8e83 : -5676;
8e84 : -3618;
8e85 : 47;
8e86 : -594;
8e87 : -2029;
8e88 : -1548;
8e89 : -2834;
8e8a : -4103;
8e8b : -2831;
8e8c : -486;
8e8d : 1030;
8e8e : 1057;
8e8f : 1858;
8e90 : 1901;
8e91 : 1828;
8e92 : 2236;
8e93 : 695;
8e94 : -1302;
8e95 : -2016;
8e96 : 943;
8e97 : 3158;
8e98 : 1904;
8e99 : 5170;
8e9a : 9138;
8e9b : 11625;
8e9c : 8827;
8e9d : 6010;
8e9e : 4111;
8e9f : 1860;
8ea0 : 1738;
8ea1 : 2820;
8ea2 : 5236;
8ea3 : 6351;
8ea4 : 4849;
8ea5 : 4954;
8ea6 : 4006;
8ea7 : 4112;
8ea8 : 4281;
8ea9 : 2583;
8eaa : 1706;
8eab : 1923;
8eac : 4658;
8ead : 3280;
8eae : 355;
8eaf : 113;
8eb0 : 1135;
8eb1 : 105;
8eb2 : -2614;
8eb3 : -3570;
8eb4 : -3382;
8eb5 : -4104;
8eb6 : -2638;
8eb7 : -520;
8eb8 : 64;
8eb9 : -2612;
8eba : -4564;
8ebb : -4723;
8ebc : -6055;
8ebd : -4636;
8ebe : -3250;
8ebf : -4274;
8ec0 : -3951;
8ec1 : -980;
8ec2 : 2110;
8ec3 : 414;
8ec4 : -540;
8ec5 : 2021;
8ec6 : 4729;
8ec7 : 2627;
8ec8 : -54;
8ec9 : -1848;
8eca : -4983;
8ecb : -6470;
8ecc : -5838;
8ecd : -4482;
8ece : -3316;
8ecf : -1850;
8ed0 : 594;
8ed1 : 945;
8ed2 : 892;
8ed3 : 734;
8ed4 : -1494;
8ed5 : -3604;
8ed6 : -2800;
8ed7 : 232;
8ed8 : 430;
8ed9 : -660;
8eda : 387;
8edb : 3779;
8edc : 6033;
8edd : 3647;
8ede : 2302;
8edf : 354;
8ee0 : -987;
8ee1 : 391;
8ee2 : 2235;
8ee3 : 4312;
8ee4 : 5180;
8ee5 : 4611;
8ee6 : 3941;
8ee7 : 2339;
8ee8 : 2831;
8ee9 : 2716;
8eea : 1940;
8eeb : 2739;
8eec : 4445;
8eed : 6892;
8eee : 3860;
8eef : 240;
8ef0 : -178;
8ef1 : 2369;
8ef2 : 1367;
8ef3 : -1191;
8ef4 : -1193;
8ef5 : -2767;
8ef6 : -3910;
8ef7 : -2244;
8ef8 : -1408;
8ef9 : -1009;
8efa : -2684;
8efb : -3339;
8efc : -2933;
8efd : -3197;
8efe : -3711;
8eff : -5322;
8f00 : -6879;
8f01 : -7232;
8f02 : -5245;
8f03 : -5342;
8f04 : -8048;
8f05 : -6709;
8f06 : -1056;
8f07 : 2521;
8f08 : 1318;
8f09 : 541;
8f0a : -1187;
8f0b : -4437;
8f0c : -4462;
8f0d : -2979;
8f0e : -3161;
8f0f : -3361;
8f10 : -3640;
8f11 : -3758;
8f12 : -1807;
8f13 : 835;
8f14 : 760;
8f15 : -1328;
8f16 : -2720;
8f17 : -2239;
8f18 : -583;
8f19 : -1951;
8f1a : -1799;
8f1b : 740;
8f1c : 4356;
8f1d : 4863;
8f1e : 2654;
8f1f : 1380;
8f20 : -823;
8f21 : -362;
8f22 : 2602;
8f23 : 3320;
8f24 : 3520;
8f25 : 3490;
8f26 : 3535;
8f27 : 5515;
8f28 : 8059;
8f29 : 6815;
8f2a : 4514;
8f2b : 2852;
8f2c : 343;
8f2d : 1964;
8f2e : 6269;
8f2f : 7783;
8f30 : 10227;
8f31 : 12587;
8f32 : 13876;
8f33 : 11405;
8f34 : 8924;
8f35 : 6027;
8f36 : 626;
8f37 : -3850;
8f38 : -5652;
8f39 : -3455;
8f3a : -817;
8f3b : -797;
8f3c : 1708;
8f3d : 3404;
8f3e : 391;
8f3f : -3156;
8f40 : -9370;
8f41 : -13162;
8f42 : -12213;
8f43 : -8872;
8f44 : -6472;
8f45 : -5319;
8f46 : -6045;
8f47 : 1166;
8f48 : -5448;
8f49 : 3386;
8f4a : 4102;
8f4b : -206;
8f4c : -2719;
8f4d : -1656;
8f4e : 2974;
8f4f : 5862;
8f50 : 6613;
8f51 : 5824;
8f52 : 3604;
8f53 : 1162;
8f54 : -1368;
8f55 : -4218;
8f56 : -4473;
8f57 : -2082;
8f58 : 3201;
8f59 : 6832;
8f5a : 4605;
8f5b : 2579;
8f5c : 1726;
8f5d : 1984;
8f5e : 1869;
8f5f : 2188;
8f60 : 212;
8f61 : -1600;
8f62 : 570;
8f63 : 2995;
8f64 : 6602;
8f65 : 7854;
8f66 : 3816;
8f67 : -2604;
8f68 : -3089;
8f69 : -4624;
8f6a : -4044;
8f6b : -6162;
8f6c : -8500;
8f6d : -4380;
8f6e : -1826;
8f6f : -2729;
8f70 : -5722;
8f71 : -8353;
8f72 : -4578;
8f73 : -2174;
8f74 : -2140;
8f75 : -946;
8f76 : -1151;
8f77 : -1876;
8f78 : -1439;
8f79 : 17;
8f7a : 1818;
8f7b : -1006;
8f7c : -4393;
8f7d : -6267;
8f7e : -7683;
8f7f : -5398;
8f80 : -4026;
8f81 : -2601;
8f82 : -2141;
8f83 : -1583;
8f84 : 1228;
8f85 : 448;
8f86 : -1023;
8f87 : -1227;
8f88 : -1534;
8f89 : -4270;
8f8a : -4574;
8f8b : -4167;
8f8c : -4961;
8f8d : -5780;
8f8e : -3666;
8f8f : -1939;
8f90 : -554;
8f91 : -4140;
8f92 : -5490;
8f93 : -5944;
8f94 : -6566;
8f95 : -3286;
8f96 : -3878;
8f97 : -3908;
8f98 : -1688;
8f99 : 128;
8f9a : 2690;
8f9b : 3091;
8f9c : 1512;
8f9d : 2214;
8f9e : 5338;
8f9f : 7430;
8fa0 : 9773;
8fa1 : 8392;
8fa2 : 4801;
8fa3 : 1978;
8fa4 : -617;
8fa5 : -872;
8fa6 : -3388;
8fa7 : -3210;
8fa8 : -980;
8fa9 : 1736;
8faa : 5959;
8fab : 5822;
8fac : 4422;
8fad : 6940;
8fae : 8761;
8faf : 10573;
8fb0 : 9456;
8fb1 : 6862;
8fb2 : 6284;
8fb3 : 10708;
8fb4 : 12962;
8fb5 : 12989;
8fb6 : 13450;
8fb7 : 11182;
8fb8 : 8311;
8fb9 : 7258;
8fba : 6014;
8fbb : 7454;
8fbc : 8797;
8fbd : 9318;
8fbe : 9348;
8fbf : 8420;
8fc0 : 7365;
8fc1 : 6565;
8fc2 : 7707;
8fc3 : 7566;
8fc4 : 7666;
8fc5 : 7688;
8fc6 : 5708;
8fc7 : 6607;
8fc8 : 8385;
8fc9 : 11444;
8fca : 10123;
8fcb : 8539;
8fcc : 7136;
8fcd : 1945;
8fce : -2131;
8fcf : -3187;
8fd0 : -3776;
8fd1 : -1974;
8fd2 : -985;
8fd3 : -592;
8fd4 : -2249;
8fd5 : -3085;
8fd6 : -3601;
8fd7 : -4220;
8fd8 : -6263;
8fd9 : -9044;
8fda : -10263;
8fdb : -11108;
8fdc : -11179;
8fdd : -10761;
8fde : -8855;
8fdf : -7894;
8fe0 : -7815;
8fe1 : -7641;
8fe2 : -11217;
8fe3 : -15987;
8fe4 : -15001;
8fe5 : -14025;
8fe6 : -11936;
8fe7 : -10005;
8fe8 : -10610;
8fe9 : -11007;
8fea : -9991;
8feb : -9575;
8fec : -8790;
8fed : -9948;
8fee : -11771;
8fef : -8837;
8ff0 : -4874;
8ff1 : -3305;
8ff2 : -2506;
8ff3 : -1199;
8ff4 : 601;
8ff5 : -1667;
8ff6 : -4595;
8ff7 : -7662;
8ff8 : -9861;
8ff9 : -9520;
8ffa : -7017;
8ffb : -3956;
8ffc : -1270;
8ffd : 590;
8ffe : 2229;
8fff : 3178;
9000 : 2437;
9001 : 322;
9002 : -1118;
9003 : -1333;
9004 : 282;
9005 : 5120;
9006 : 5119;
9007 : 4121;
9008 : 5751;
9009 : 7999;
900a : 8234;
900b : 4492;
900c : 2671;
900d : 1882;
900e : 250;
900f : 651;
9010 : 321;
9011 : -434;
9012 : 138;
9013 : 993;
9014 : 2486;
9015 : 1938;
9016 : 2544;
9017 : 3489;
9018 : 5271;
9019 : 5730;
901a : 7709;
901b : 9136;
901c : 6938;
901d : 5992;
901e : 5580;
901f : 3234;
9020 : 549;
9021 : -428;
9022 : -555;
9023 : -917;
9024 : -1623;
9025 : -762;
9026 : -922;
9027 : -136;
9028 : 1288;
9029 : 2126;
902a : 1013;
902b : -342;
902c : -401;
902d : -757;
902e : -822;
902f : 376;
9030 : 2370;
9031 : 2231;
9032 : 1716;
9033 : -269;
9034 : -652;
9035 : -487;
9036 : -925;
9037 : -574;
9038 : -1378;
9039 : -2870;
903a : -2688;
903b : -2359;
903c : -2541;
903d : -3122;
903e : -4695;
903f : -3846;
9040 : -3096;
9041 : -1704;
9042 : -1158;
9043 : 91;
9044 : 198;
9045 : 819;
9046 : 1356;
9047 : -2026;
9048 : -5980;
9049 : -7058;
904a : -5069;
904b : -3213;
904c : -2438;
904d : -1577;
904e : -1483;
904f : -1423;
9050 : 733;
9051 : 1140;
9052 : 893;
9053 : -885;
9054 : 577;
9055 : 1504;
9056 : 1336;
9057 : 2124;
9058 : 990;
9059 : 677;
905a : 1840;
905b : 3359;
905c : 2512;
905d : 1340;
905e : 673;
905f : 3289;
9060 : 5945;
9061 : 4745;
9062 : 3203;
9063 : 1133;
9064 : -1164;
9065 : -254;
9066 : 387;
9067 : -1621;
9068 : -1644;
9069 : 46;
906a : 1534;
906b : 1947;
906c : 2109;
906d : 2066;
906e : 489;
906f : -1224;
9070 : -2889;
9071 : -3787;
9072 : -3773;
9073 : -4770;
9074 : -4368;
9075 : -1234;
9076 : -583;
9077 : 1164;
9078 : 1504;
9079 : 593;
907a : 210;
907b : -322;
907c : -356;
907d : 40;
907e : -1000;
907f : -1032;
9080 : -960;
9081 : 680;
9082 : 1339;
9083 : 831;
9084 : -1684;
9085 : -4088;
9086 : -2028;
9087 : -666;
9088 : 464;
9089 : 244;
908a : 801;
908b : 3083;
908c : 2536;
908d : 3002;
908e : 3108;
908f : 289;
9090 : 119;
9091 : 2315;
9092 : 3609;
9093 : 3897;
9094 : 3497;
9095 : 4684;
9096 : 6764;
9097 : 8309;
9098 : 6280;
9099 : 1854;
909a : 451;
909b : 1726;
909c : 4481;
909d : 6015;
909e : 4125;
909f : 3901;
90a0 : 5534;
90a1 : 6682;
90a2 : 7418;
90a3 : 7283;
90a4 : 5464;
90a5 : 3511;
90a6 : 4813;
90a7 : 4227;
90a8 : 3019;
90a9 : 2865;
90aa : 3225;
90ab : 4009;
90ac : 3357;
90ad : -23;
90ae : -1845;
90af : -2128;
90b0 : -2208;
90b1 : 305;
90b2 : 944;
90b3 : -702;
90b4 : -1814;
90b5 : -2176;
90b6 : -1020;
90b7 : -2372;
90b8 : -3001;
90b9 : -2825;
90ba : -4216;
90bb : -4915;
90bc : -4537;
90bd : -3903;
90be : -2957;
90bf : -3384;
90c0 : -3572;
90c1 : -5975;
90c2 : -7137;
90c3 : -7872;
90c4 : -8238;
90c5 : -8668;
90c6 : -9438;
90c7 : -8165;
90c8 : -6936;
90c9 : -6833;
90ca : -6249;
90cb : -4164;
90cc : -3146;
90cd : -1975;
90ce : -685;
90cf : -2115;
90d0 : -5257;
90d1 : -4434;
90d2 : -2147;
90d3 : -678;
90d4 : -1001;
90d5 : -3228;
90d6 : -4157;
90d7 : -3582;
90d8 : -1753;
90d9 : -473;
90da : 457;
90db : -18;
90dc : 750;
90dd : 3418;
90de : 3524;
90df : 3296;
90e0 : 2798;
90e1 : 4570;
90e2 : 6495;
90e3 : 7058;
90e4 : 7859;
90e5 : 6288;
90e6 : 6261;
90e7 : 7671;
90e8 : 6845;
90e9 : 3997;
90ea : 352;
90eb : 703;
90ec : 1968;
90ed : 3802;
90ee : 5434;
90ef : 4766;
90f0 : 3848;
90f1 : 3604;
90f2 : 5048;
90f3 : 5935;
90f4 : 4708;
90f5 : 4033;
90f6 : 5386;
90f7 : 6333;
90f8 : 6451;
90f9 : 6140;
90fa : 5655;
90fb : 4014;
90fc : 2823;
90fd : 1571;
90fe : -1846;
90ff : -3721;
9100 : -3894;
9101 : -3732;
9102 : -3830;
9103 : -3153;
9104 : -3614;
9105 : -4454;
9106 : -5376;
9107 : -5023;
9108 : -3469;
9109 : -3706;
910a : -3808;
910b : -3964;
910c : -2646;
910d : -2722;
910e : -3007;
910f : -1334;
9110 : -2186;
9111 : -4379;
9112 : -5527;
9113 : -6322;
9114 : -6396;
9115 : -7059;
9116 : -6608;
9117 : -6586;
9118 : -6232;
9119 : -4413;
911a : -4280;
911b : -3268;
911c : -3108;
911d : -2297;
911e : -704;
911f : -895;
9120 : -891;
9121 : 134;
9122 : 1064;
9123 : 1512;
9124 : 2478;
9125 : 2694;
9126 : -491;
9127 : -2212;
9128 : -754;
9129 : 388;
912a : 1212;
912b : 1422;
912c : 1009;
912d : 1916;
912e : 3389;
912f : 4525;
9130 : 4493;
9131 : 3323;
9132 : 3191;
9133 : 4966;
9134 : 6232;
9135 : 6558;
9136 : 7861;
9137 : 9441;
9138 : 9715;
9139 : 9065;
913a : 6770;
913b : 3480;
913c : 716;
913d : 1526;
913e : 2330;
913f : 2186;
9140 : 317;
9141 : -709;
9142 : -529;
9143 : -320;
9144 : 848;
9145 : 0;
9146 : -296;
9147 : -469;
9148 : 59;
9149 : 817;
914a : 444;
914b : 4;
914c : 293;
914d : 1282;
914e : -261;
914f : -2489;
9150 : -2960;
9151 : -3231;
9152 : -4062;
9153 : -3065;
9154 : -3409;
9155 : -5443;
9156 : -6118;
9157 : -6673;
9158 : -5787;
9159 : -5638;
915a : -5475;
915b : -3874;
915c : -2715;
915d : -2973;
915e : -2039;
915f : -1625;
9160 : -1888;
9161 : -1875;
9162 : -1575;
9163 : -2860;
9164 : -3854;
9165 : -2389;
9166 : -1476;
9167 : -2565;
9168 : -2254;
9169 : -2535;
916a : -2019;
916b : -2084;
916c : -620;
916d : 635;
916e : -369;
916f : 1429;
9170 : 2820;
9171 : 3032;
9172 : 2783;
9173 : 2977;
9174 : 5347;
9175 : 5721;
9176 : 5594;
9177 : 4558;
9178 : 3655;
9179 : 4485;
917a : 4846;
917b : 5426;
917c : 4804;
917d : 2645;
917e : 4312;
917f : 4744;
9180 : 4593;
9181 : 4331;
9182 : 2818;
9183 : 2678;
9184 : 3057;
9185 : 3538;
9186 : 3104;
9187 : 3018;
9188 : 3606;
9189 : 4582;
918a : 4471;
918b : 2070;
918c : -1362;
918d : -436;
918e : 342;
918f : 68;
9190 : 270;
9191 : -1043;
9192 : -2723;
9193 : -4049;
9194 : -3046;
9195 : -2618;
9196 : -3562;
9197 : -3715;
9198 : -2340;
9199 : -1970;
919a : -1997;
919b : -2249;
919c : -2067;
919d : -2451;
919e : -3019;
919f : -3223;
91a0 : -5990;
91a1 : -6920;
91a2 : -5250;
91a3 : -3381;
91a4 : -2704;
91a5 : -3690;
91a6 : -3524;
91a7 : -4131;
91a8 : -5960;
91a9 : -3988;
91aa : -3523;
91ab : -4282;
91ac : -4330;
91ad : -3645;
91ae : -3974;
91af : -4052;
91b0 : -2326;
91b1 : -737;
91b2 : 120;
91b3 : 242;
91b4 : -441;
91b5 : -960;
91b6 : -96;
91b7 : -159;
91b8 : 1493;
91b9 : 2640;
91ba : 1804;
91bb : 2514;
91bc : 2511;
91bd : 1733;
91be : 2039;
91bf : 3436;
91c0 : 3842;
91c1 : 4072;
91c2 : 3713;
91c3 : 3958;
91c4 : 4235;
91c5 : 5047;
91c6 : 5633;
91c7 : 5880;
91c8 : 4584;
91c9 : 2991;
91ca : 4210;
91cb : 3934;
91cc : 3483;
91cd : 4145;
91ce : 4546;
91cf : 4418;
91d0 : 3706;
91d1 : 3804;
91d2 : 3735;
91d3 : 1327;
91d4 : 1925;
91d5 : 2425;
91d6 : 1099;
91d7 : -861;
91d8 : -1133;
91d9 : 37;
91da : 43;
91db : 300;
91dc : -754;
91dd : -3244;
91de : -4067;
91df : -2602;
91e0 : -2678;
91e1 : -2576;
91e2 : -3616;
91e3 : -3686;
91e4 : -3264;
91e5 : -4346;
91e6 : -3541;
91e7 : -3495;
91e8 : -4166;
91e9 : -3566;
91ea : -1970;
91eb : -2285;
91ec : -3323;
91ed : -2581;
91ee : -1645;
91ef : -2068;
91f0 : -2024;
91f1 : -4025;
91f2 : -4407;
91f3 : -3586;
91f4 : -3311;
91f5 : -1745;
91f6 : -2007;
91f7 : -2355;
91f8 : -2256;
91f9 : -1353;
91fa : -118;
91fb : -232;
91fc : 101;
91fd : 1274;
91fe : 1143;
91ff : 2379;
9200 : 2806;
9201 : 2543;
9202 : 3147;
9203 : 4020;
9204 : 5147;
9205 : 3668;
9206 : 2840;
9207 : 3489;
9208 : 3695;
9209 : 3674;
920a : 3882;
920b : 3703;
920c : 2586;
920d : 851;
920e : 1434;
920f : 2826;
9210 : 2891;
9211 : 4018;
9212 : 5751;
9213 : 5470;
9214 : 3855;
9215 : 4642;
9216 : 4932;
9217 : 3751;
9218 : 2680;
9219 : 1288;
921a : -867;
921b : -1148;
921c : -857;
921d : -501;
921e : -1062;
921f : -1732;
9220 : -1330;
9221 : -2254;
9222 : -2836;
9223 : -3183;
9224 : -2776;
9225 : -2400;
9226 : -1502;
9227 : -1834;
9228 : -2799;
9229 : -4714;
922a : -4243;
922b : -2559;
922c : -2264;
922d : -3601;
922e : -4641;
922f : -4699;
9230 : -4967;
9231 : -5506;
9232 : -6065;
9233 : -6368;
9234 : -5611;
9235 : -2472;
9236 : -1074;
9237 : -1813;
9238 : -4368;
9239 : -5824;
923a : -5842;
923b : -7274;
923c : -7305;
923d : -4373;
923e : 147;
923f : 4727;
9240 : 8054;
9241 : 6994;
9242 : 2085;
9243 : -1369;
9244 : -340;
9245 : -985;
9246 : -357;
9247 : 647;
9248 : 1143;
9249 : 1024;
924a : -902;
924b : -16;
924c : -304;
924d : -1438;
924e : -303;
924f : 2031;
9250 : 6835;
9251 : 11491;
9252 : 12913;
9253 : 14041;
9254 : 12122;
9255 : 8020;
9256 : 1575;
9257 : -4081;
9258 : -5761;
9259 : -3402;
925a : 3407;
925b : 8711;
925c : 9966;
925d : 9336;
925e : 5739;
925f : 3994;
9260 : 3613;
9261 : 2725;
9262 : 4807;
9263 : 5871;
9264 : 5342;
9265 : 4602;
9266 : 2306;
9267 : -332;
9268 : -2780;
9269 : -4566;
926a : -6994;
926b : -4576;
926c : 3036;
926d : 7232;
926e : 8795;
926f : 8763;
9270 : 3169;
9271 : -5086;
9272 : -12607;
9273 : -16639;
9274 : -16367;
9275 : -12639;
9276 : -5190;
9277 : -45;
9278 : 101;
9279 : -2871;
927a : -4023;
927b : -3124;
927c : -2940;
927d : -1606;
927e : -293;
927f : -1143;
9280 : -444;
9281 : -813;
9282 : -4572;
9283 : -9104;
9284 : -12260;
9285 : -12190;
9286 : -11982;
9287 : -8308;
9288 : -1463;
9289 : 3668;
928a : 6637;
928b : 7624;
928c : 4071;
928d : -3502;
928e : -9917;
928f : -11697;
9290 : -7705;
9291 : -1350;
9292 : 3834;
9293 : 4937;
9294 : 3846;
9295 : 2913;
9296 : 4060;
9297 : 5291;
9298 : 5551;
9299 : 5386;
929a : 6643;
929b : 6255;
929c : 4486;
929d : 1477;
929e : -2572;
929f : -5133;
92a0 : -4971;
92a1 : -2475;
92a2 : 1795;
92a3 : 7325;
92a4 : 12257;
92a5 : 16795;
92a6 : 17622;
92a7 : 13842;
92a8 : 6130;
92a9 : -632;
92aa : -6351;
92ab : -6476;
92ac : -1923;
92ad : 2675;
92ae : 5173;
92af : 3295;
92b0 : 809;
92b1 : -839;
92b2 : -2537;
92b3 : -988;
92b4 : 1228;
92b5 : 2911;
92b6 : 4875;
92b7 : 5289;
92b8 : 3024;
92b9 : -1658;
92ba : -7433;
92bb : -10683;
92bc : -11693;
92bd : -8896;
92be : -3967;
92bf : 750;
92c0 : 5669;
92c1 : 7422;
92c2 : 7750;
92c3 : 1349;
92c4 : -7738;
92c5 : -12576;
92c6 : -14957;
92c7 : -11996;
92c8 : -5218;
92c9 : -1096;
92ca : -130;
92cb : -2658;
92cc : -3582;
92cd : -3261;
92ce : -2457;
92cf : -1420;
92d0 : -439;
92d1 : 3334;
92d2 : 5383;
92d3 : 4587;
92d4 : 2579;
92d5 : -2701;
92d6 : -7045;
92d7 : -7382;
92d8 : -7082;
92d9 : -3918;
92da : -240;
92db : 5669;
92dc : 10008;
92dd : 10668;
92de : 9469;
92df : 2365;
92e0 : -2999;
92e1 : -5531;
92e2 : -2958;
92e3 : 2171;
92e4 : 5010;
92e5 : 6412;
92e6 : 6104;
92e7 : 3220;
92e8 : 2659;
92e9 : 1997;
92ea : 3548;
92eb : 5375;
92ec : 6709;
92ed : 10116;
92ee : 9822;
92ef : 6127;
92f0 : 3439;
92f1 : -1015;
92f2 : -4376;
92f3 : -5893;
92f4 : -4204;
92f5 : -1299;
92f6 : 2328;
92f7 : 7303;
92f8 : 7844;
92f9 : 6912;
92fa : 2369;
92fb : -4014;
92fc : -7032;
92fd : -7888;
92fe : -3539;
92ff : 1607;
9300 : 2731;
9301 : 730;
9302 : -2695;
9303 : -5832;
9304 : -6738;
9305 : -5867;
9306 : -2861;
9307 : -1772;
9308 : -515;
9309 : 1546;
930a : 766;
930b : -1200;
930c : -5927;
930d : -9442;
930e : -9502;
930f : -8378;
9310 : -6547;
9311 : -2795;
9312 : 1781;
9313 : 4057;
9314 : 3657;
9315 : 1450;
9316 : -4711;
9317 : -9713;
9318 : -10294;
9319 : -7190;
931a : -2412;
931b : 1762;
931c : 4005;
931d : 1508;
931e : -1938;
931f : -4027;
9320 : -4932;
9321 : -4480;
9322 : -1294;
9323 : 3106;
9324 : 6560;
9325 : 8061;
9326 : 7118;
9327 : 6422;
9328 : 2237;
9329 : -1101;
932a : -2039;
932b : -1674;
932c : -674;
932d : 4476;
932e : 8778;
932f : 9490;
9330 : 8497;
9331 : 6324;
9332 : 1978;
9333 : -1329;
9334 : -2042;
9335 : 1178;
9336 : 4418;
9337 : 7713;
9338 : 9045;
9339 : 7350;
933a : 3340;
933b : 115;
933c : -833;
933d : -1225;
933e : 141;
933f : 2723;
9340 : 5203;
9341 : 6208;
9342 : 5635;
9343 : 3219;
9344 : -487;
9345 : -3468;
9346 : -3219;
9347 : -2105;
9348 : -967;
9349 : 1041;
934a : 2423;
934b : 2855;
934c : 1272;
934d : -1682;
934e : -4578;
934f : -6785;
9350 : -6492;
9351 : -5753;
9352 : -3008;
9353 : -1297;
9354 : -2290;
9355 : -3706;
9356 : -6011;
9357 : -7645;
9358 : -8076;
9359 : -5931;
935a : -2672;
935b : 513;
935c : 2952;
935d : 2015;
935e : -1427;
935f : -4612;
9360 : -7479;
9361 : -8119;
9362 : -7909;
9363 : -6053;
9364 : -1673;
9365 : 88;
9366 : 1054;
9367 : 1407;
9368 : 15;
9369 : -2295;
936a : -4357;
936b : -3987;
936c : -2516;
936d : -146;
936e : 4868;
936f : 7156;
9370 : 6982;
9371 : 3460;
9372 : 674;
9373 : -1116;
9374 : -2019;
9375 : 182;
9376 : 4168;
9377 : 6957;
9378 : 9126;
9379 : 8400;
937a : 5040;
937b : 1119;
937c : -2277;
937d : -1951;
937e : -807;
937f : 1654;
9380 : 5183;
9381 : 8242;
9382 : 9606;
9383 : 9075;
9384 : 7483;
9385 : 2838;
9386 : -1866;
9387 : -1477;
9388 : -864;
9389 : 739;
938a : 3274;
938b : 3839;
938c : 3055;
938d : -1373;
938e : -4666;
938f : -5564;
9390 : -5276;
9391 : -2668;
9392 : 687;
9393 : 4145;
9394 : 5023;
9395 : 3039;
9396 : 322;
9397 : -3426;
9398 : -6057;
9399 : -6279;
939a : -6659;
939b : -6090;
939c : -2400;
939d : 1640;
939e : 3600;
939f : 1605;
93a0 : -925;
93a1 : -4179;
93a2 : -7296;
93a3 : -8089;
93a4 : -6636;
93a5 : -4095;
93a6 : -1955;
93a7 : -1569;
93a8 : -2470;
93a9 : -5114;
93aa : -6395;
93ab : -6081;
93ac : -3295;
93ad : -663;
93ae : 1744;
93af : 4750;
93b0 : 5456;
93b1 : 3993;
93b2 : 2464;
93b3 : 34;
93b4 : -3132;
93b5 : -4926;
93b6 : -5508;
93b7 : -3303;
93b8 : -367;
93b9 : 3976;
93ba : 6815;
93bb : 6733;
93bc : 4626;
93bd : 1564;
93be : 876;
93bf : 1140;
93c0 : 2114;
93c1 : 3891;
93c2 : 4204;
93c3 : 3138;
93c4 : 2303;
93c5 : 744;
93c6 : 339;
93c7 : 2155;
93c8 : 5348;
93c9 : 6918;
93ca : 6769;
93cb : 5639;
93cc : 5406;
93cd : 2976;
93ce : 431;
93cf : -779;
93d0 : -1047;
93d1 : -2572;
93d2 : -3839;
93d3 : -2055;
93d4 : 1713;
93d5 : 4765;
93d6 : 4400;
93d7 : 2784;
93d8 : 213;
93d9 : -870;
93da : -393;
93db : 554;
93dc : 421;
93dd : 692;
93de : 518;
93df : -2775;
93e0 : -6254;
93e1 : -8578;
93e2 : -8687;
93e3 : -6699;
93e4 : -3737;
93e5 : -413;
93e6 : 661;
93e7 : 874;
93e8 : 451;
93e9 : 132;
93ea : -1487;
93eb : -4693;
93ec : -5820;
93ed : -5918;
93ee : -5996;
93ef : -3250;
93f0 : 322;
93f1 : 1408;
93f2 : 920;
93f3 : -51;
93f4 : -1608;
93f5 : -3626;
93f6 : -4237;
93f7 : -2702;
93f8 : -2413;
93f9 : -2493;
93fa : -2156;
93fb : -2201;
93fc : -2867;
93fd : -3394;
93fe : -1738;
93ff : 216;
9400 : 1755;
9401 : 3964;
9402 : 5087;
9403 : 5789;
9404 : 6226;
9405 : 6591;
9406 : 5030;
9407 : 1627;
9408 : -667;
9409 : -1005;
940a : -1044;
940b : 80;
940c : 2384;
940d : 4008;
940e : 4282;
940f : 4253;
9410 : 5494;
9411 : 6038;
9412 : 5547;
9413 : 4174;
9414 : 2539;
9415 : 2098;
9416 : 1433;
9417 : 1098;
9418 : 1329;
9419 : 268;
941a : 1637;
941b : 3099;
941c : 3071;
941d : 2531;
941e : 2597;
941f : 3337;
9420 : 2657;
9421 : 955;
9422 : 31;
9423 : -2180;
9424 : -3783;
9425 : -3449;
9426 : -2454;
9427 : -1937;
9428 : -2392;
9429 : -1742;
942a : -560;
942b : -218;
942c : 492;
942d : 253;
942e : -779;
942f : -2635;
9430 : -3741;
9431 : -4674;
9432 : -6508;
9433 : -5914;
9434 : -3973;
9435 : -3309;
9436 : -3254;
9437 : -3066;
9438 : -2450;
9439 : -3108;
943a : -3018;
943b : -1708;
943c : -1096;
943d : -1655;
943e : -2915;
943f : -3765;
9440 : -3023;
9441 : -1795;
9442 : -602;
9443 : -316;
9444 : -1959;
9445 : -1171;
9446 : 224;
9447 : 1028;
9448 : 1994;
9449 : 2537;
944a : 3094;
944b : 1277;
944c : -279;
944d : -901;
944e : -764;
944f : -709;
9450 : -404;
9451 : 1164;
9452 : 2338;
9453 : 2244;
9454 : 2614;
9455 : 4077;
9456 : 5289;
9457 : 6180;
9458 : 6165;
9459 : 4779;
945a : 2706;
945b : 1482;
945c : 1387;
945d : 801;
945e : 1432;
945f : 2683;
9460 : 3312;
9461 : 2892;
9462 : 3124;
9463 : 4199;
9464 : 2451;
9465 : 1003;
9466 : 1273;
9467 : 742;
9468 : -119;
9469 : -1011;
946a : -281;
946b : 801;
946c : 346;
946d : -488;
946e : -1022;
946f : -2391;
9470 : -2685;
9471 : -1195;
9472 : -371;
9473 : 238;
9474 : 606;
9475 : 370;
9476 : -1718;
9477 : -3312;
9478 : -4047;
9479 : -4822;
947a : -5393;
947b : -4764;
947c : -3027;
947d : -1104;
947e : -42;
947f : 81;
9480 : -1081;
9481 : -2574;
9482 : -3163;
9483 : -3429;
9484 : -4244;
9485 : -4298;
9486 : -2970;
9487 : -1927;
9488 : -1841;
9489 : -1983;
948a : -1455;
948b : -713;
948c : -1267;
948d : -2107;
948e : -836;
948f : 492;
9490 : 702;
9491 : 2060;
9492 : 3177;
9493 : 2950;
9494 : 1551;
9495 : 179;
9496 : 150;
9497 : 493;
9498 : 849;
9499 : 1816;
949a : 2168;
949b : 1554;
949c : 1830;
949d : 1971;
949e : 1811;
949f : 3476;
94a0 : 4984;
94a1 : 4527;
94a2 : 3019;
94a3 : 2183;
94a4 : 1489;
94a5 : 565;
94a6 : 591;
94a7 : 1249;
94a8 : 2115;
94a9 : 1428;
94aa : 919;
94ab : 1421;
94ac : 1615;
94ad : 2153;
94ae : 2406;
94af : 1863;
94b0 : 130;
94b1 : -142;
94b2 : 179;
94b3 : 630;
94b4 : 1263;
94b5 : 1966;
94b6 : 1453;
94b7 : -670;
94b8 : -2424;
94b9 : -2279;
94ba : -951;
94bb : -738;
94bc : 217;
94bd : 346;
94be : -655;
94bf : -2057;
94c0 : -3713;
94c1 : -3355;
94c2 : -3004;
94c3 : -2964;
94c4 : -3002;
94c5 : -3031;
94c6 : -2206;
94c7 : -607;
94c8 : 688;
94c9 : -212;
94ca : -1391;
94cb : -1367;
94cc : -2454;
94cd : -3527;
94ce : -2424;
94cf : -492;
94d0 : 60;
94d1 : -967;
94d2 : -2265;
94d3 : -2699;
94d4 : -4052;
94d5 : -4493;
94d6 : -2128;
94d7 : 467;
94d8 : 2075;
94d9 : 2350;
94da : 2558;
94db : 1363;
94dc : 616;
94dd : 854;
94de : -342;
94df : -445;
94e0 : 1219;
94e1 : 2720;
94e2 : 3475;
94e3 : 3819;
94e4 : 4093;
94e5 : 3004;
94e6 : 92;
94e7 : -747;
94e8 : -147;
94e9 : 590;
94ea : 2164;
94eb : 3137;
94ec : 3954;
94ed : 3000;
94ee : 1813;
94ef : 1134;
94f0 : 356;
94f1 : 588;
94f2 : 1119;
94f3 : 2094;
94f4 : 2671;
94f5 : 2700;
94f6 : 4016;
94f7 : 3766;
94f8 : 2167;
94f9 : 574;
94fa : -1385;
94fb : -2507;
94fc : -2114;
94fd : -482;
94fe : 1701;
94ff : 1951;
9500 : 71;
9501 : -1309;
9502 : -2103;
9503 : -2501;
9504 : -2029;
9505 : -397;
9506 : -345;
9507 : -1059;
9508 : -1273;
9509 : -2608;
950a : -3940;
950b : -2997;
950c : -840;
950d : 363;
950e : -225;
950f : -789;
9510 : -1023;
9511 : -2615;
9512 : -4012;
9513 : -4488;
9514 : -4960;
9515 : -5794;
9516 : -5215;
9517 : -3314;
9518 : -1200;
9519 : 334;
951a : 1012;
951b : -658;
951c : -2975;
951d : -3534;
951e : -3085;
951f : -1451;
9520 : 824;
9521 : 3904;
9522 : 4499;
9523 : 2209;
9524 : 373;
9525 : -772;
9526 : -1478;
9527 : -1507;
9528 : 101;
9529 : 1850;
952a : 2348;
952b : 2032;
952c : 1596;
952d : 2110;
952e : 2223;
952f : 1535;
9530 : 1023;
9531 : 470;
9532 : 926;
9533 : 3398;
9534 : 5352;
9535 : 6125;
9536 : 6180;
9537 : 5092;
9538 : 3332;
9539 : 880;
953a : -257;
953b : 83;
953c : 216;
953d : 695;
953e : 1917;
953f : 3825;
9540 : 3171;
9541 : 1894;
9542 : 1019;
9543 : -210;
9544 : -3540;
9545 : -4972;
9546 : -4322;
9547 : -1550;
9548 : 3260;
9549 : 6161;
954a : 4947;
954b : -119;
954c : -2578;
954d : -2013;
954e : -2243;
954f : -412;
9550 : 1827;
9551 : 2006;
9552 : -495;
9553 : -3517;
9554 : -4578;
9555 : -5880;
9556 : -5804;
9557 : -4234;
9558 : -2429;
9559 : -275;
955a : -402;
955b : -323;
955c : -2362;
955d : -4113;
955e : -3599;
955f : -2600;
9560 : -925;
9561 : 1698;
9562 : 4112;
9563 : 3224;
9564 : -1450;
9565 : -6523;
9566 : -9483;
9567 : -9371;
9568 : -5341;
9569 : 1506;
956a : 6357;
956b : 5226;
956c : 2405;
956d : -565;
956e : -1857;
956f : -2116;
9570 : -340;
9571 : 2832;
9572 : 4645;
9573 : 5223;
9574 : 4274;
9575 : 1565;
9576 : -2206;
9577 : -2634;
9578 : -887;
9579 : 1156;
957a : 3095;
957b : 4986;
957c : 5229;
957d : 2280;
957e : 1149;
957f : 2818;
9580 : 3530;
9581 : 4183;
9582 : 4830;
9583 : 4439;
9584 : 2438;
9585 : -1069;
9586 : -3102;
9587 : -3904;
9588 : -2890;
9589 : -1603;
958a : 2915;
958b : 1825;
958c : -159;
958d : 277;
958e : -500;
958f : -456;
9590 : 846;
9591 : -1341;
9592 : -1294;
9593 : -4746;
9594 : -2635;
9595 : -3304;
9596 : -6173;
9597 : -6090;
9598 : -5593;
9599 : -3181;
959a : 1380;
959b : -113;
959c : 2084;
959d : -1996;
959e : -2003;
959f : 18;
95a0 : 1289;
95a1 : 5458;
95a2 : 11132;
95a3 : 13513;
95a4 : 9419;
95a5 : 2083;
95a6 : -3215;
95a7 : -7519;
95a8 : -8375;
95a9 : -3218;
95aa : 1778;
95ab : 3222;
95ac : 2429;
95ad : -706;
95ae : -430;
95af : -1355;
95b0 : -7;
95b1 : -604;
95b2 : -135;
95b3 : -1374;
95b4 : 1147;
95b5 : 3539;
95b6 : 886;
95b7 : -2234;
95b8 : -3808;
95b9 : -4487;
95ba : -4181;
95bb : -2142;
95bc : 61;
95bd : 1335;
95be : -2664;
95bf : -6744;
95c0 : -8346;
95c1 : -6031;
95c2 : -1247;
95c3 : 4373;
95c4 : 7588;
95c5 : 7619;
95c6 : 1474;
95c7 : -4989;
95c8 : -10269;
95c9 : -8694;
95ca : -944;
95cb : 5285;
95cc : 7172;
95cd : 6971;
95ce : 6265;
95cf : 5514;
95d0 : 4648;
95d1 : 5067;
95d2 : 5714;
95d3 : 4544;
95d4 : 3511;
95d5 : 3331;
95d6 : 2831;
95d7 : 2788;
95d8 : 2173;
95d9 : 196;
95da : 1855;
95db : 1400;
95dc : 2075;
95dd : 4669;
95de : 1147;
95df : -1229;
95e0 : -4025;
95e1 : -3026;
95e2 : -2089;
95e3 : -437;
95e4 : 1141;
95e5 : 932;
95e6 : -2909;
95e7 : -7576;
95e8 : -11155;
95e9 : -12402;
95ea : -6685;
95eb : 450;
95ec : 2737;
95ed : -277;
95ee : -5137;
95ef : -6108;
95f0 : -4048;
95f1 : -3338;
95f2 : -1336;
95f3 : -495;
95f4 : -772;
95f5 : 283;
95f6 : -1541;
95f7 : -3282;
95f8 : -3821;
95f9 : -2329;
95fa : -1903;
95fb : -100;
95fc : 2531;
95fd : 4244;
95fe : 5293;
95ff : 4502;
9600 : 2014;
9601 : 763;
9602 : 98;
9603 : 3019;
9604 : 5266;
9605 : 6017;
9606 : 4408;
9607 : 1147;
9608 : -4549;
9609 : -8857;
960a : -7399;
960b : -1583;
960c : 3693;
960d : 5534;
960e : 5672;
960f : 3353;
9610 : 1936;
9611 : 1403;
9612 : 2212;
9613 : 2159;
9614 : 460;
9615 : 3195;
9616 : 3516;
9617 : 3608;
9618 : 1985;
9619 : -1690;
961a : -3779;
961b : -2946;
961c : -767;
961d : 1985;
961e : 2330;
961f : 796;
9620 : 1428;
9621 : -69;
9622 : 569;
9623 : 1322;
9624 : 1992;
9625 : 3235;
9626 : 3970;
9627 : 2156;
9628 : -1484;
9629 : -5693;
962a : -8555;
962b : -6543;
962c : -1412;
962d : 1558;
962e : 131;
962f : -940;
9630 : -688;
9631 : 524;
9632 : 842;
9633 : 113;
9634 : -10;
9635 : -266;
9636 : 37;
9637 : -562;
9638 : -1527;
9639 : -2550;
963a : -2530;
963b : -2371;
963c : 394;
963d : 606;
963e : 1533;
963f : -344;
9640 : -2167;
9641 : -3170;
9642 : -2895;
9643 : -994;
9644 : -507;
9645 : 1944;
9646 : 3776;
9647 : 2053;
9648 : 53;
9649 : -4915;
964a : -7105;
964b : -6370;
964c : -1449;
964d : 2413;
964e : 3773;
964f : 2943;
9650 : 3502;
9651 : 4114;
9652 : 2832;
9653 : 2133;
9654 : 2102;
9655 : 1102;
9656 : 1745;
9657 : 2243;
9658 : 2542;
9659 : 347;
965a : -1592;
965b : -3281;
965c : -3700;
965d : -1865;
965e : 372;
965f : 2355;
9660 : 1622;
9661 : -12;
9662 : -74;
9663 : 70;
9664 : 1100;
9665 : 4922;
9666 : 5992;
9667 : 5862;
9668 : 3065;
9669 : -985;
966a : -5351;
966b : -5665;
966c : -3561;
966d : 496;
966e : 2097;
966f : 321;
9670 : -22;
9671 : -775;
9672 : -331;
9673 : -1178;
9674 : -1331;
9675 : -1824;
9676 : -2622;
9677 : -6;
9678 : 2499;
9679 : 2657;
967a : 1175;
967b : -759;
967c : -1657;
967d : -2787;
967e : -1877;
967f : -1458;
9680 : -812;
9681 : -1862;
9682 : -3045;
9683 : -1034;
9684 : -1488;
9685 : 1272;
9686 : 2990;
9687 : 2685;
9688 : 1080;
9689 : -2235;
968a : -5873;
968b : -7949;
968c : -5477;
968d : 658;
968e : 5232;
968f : 5373;
9690 : 3288;
9691 : 1613;
9692 : 1240;
9693 : -87;
9694 : 817;
9695 : 1171;
9696 : 828;
9697 : 1347;
9698 : 1598;
9699 : 943;
969a : -201;
969b : -1989;
969c : -2034;
969d : -1702;
969e : -1017;
969f : 2011;
96a0 : 3289;
96a1 : 3245;
96a2 : 2437;
96a3 : 1194;
96a4 : 86;
96a5 : 711;
96a6 : 2320;
96a7 : 2331;
96a8 : 3579;
96a9 : 1782;
96aa : -930;
96ab : -3557;
96ac : -5994;
96ad : -2936;
96ae : 916;
96af : 2067;
96b0 : 1268;
96b1 : 328;
96b2 : -1008;
96b3 : 241;
96b4 : 1607;
96b5 : 1259;
96b6 : 1258;
96b7 : 675;
96b8 : 931;
96b9 : 711;
96ba : 223;
96bb : -396;
96bc : -447;
96bd : -1144;
96be : -2569;
96bf : -1669;
96c0 : -540;
96c1 : -1645;
96c2 : -2118;
96c3 : -1891;
96c4 : -1284;
96c5 : 677;
96c6 : 1217;
96c7 : 2918;
96c8 : 3454;
96c9 : 3375;
96ca : 1558;
96cb : -3501;
96cc : -6335;
96cd : -5712;
96ce : -3444;
96cf : -972;
96d0 : -1461;
96d1 : -2011;
96d2 : -2191;
96d3 : -829;
96d4 : 66;
96d5 : 857;
96d6 : 1674;
96d7 : 856;
96d8 : 1277;
96d9 : 1367;
96da : 288;
96db : -2300;
96dc : -2823;
96dd : -3556;
96de : -2663;
96df : -883;
96e0 : 1318;
96e1 : 2417;
96e2 : 2097;
96e3 : 1642;
96e4 : 320;
96e5 : 1685;
96e6 : 2884;
96e7 : 5425;
96e8 : 6698;
96e9 : 6012;
96ea : 3951;
96eb : -1767;
96ec : -5658;
96ed : -6850;
96ee : -4334;
96ef : -553;
96f0 : 1809;
96f1 : 2221;
96f2 : 1699;
96f3 : 2612;
96f4 : 3656;
96f5 : 3708;
96f6 : 3467;
96f7 : 1873;
96f8 : 945;
96f9 : 782;
96fa : 478;
96fb : -223;
96fc : 344;
96fd : -705;
96fe : -1944;
96ff : -1341;
9700 : -1165;
9701 : -984;
9702 : -1341;
9703 : -1042;
9704 : -1978;
9705 : -1698;
9706 : -97;
9707 : 861;
9708 : 2378;
9709 : 4095;
970a : 3217;
970b : 51;
970c : -4209;
970d : -5866;
970e : -4374;
970f : -1079;
9710 : 1262;
9711 : 651;
9712 : -1017;
9713 : -2531;
9714 : -2281;
9715 : -1887;
9716 : -1536;
9717 : -1818;
9718 : -1749;
9719 : -464;
971a : 496;
971b : 2082;
971c : 1083;
971d : 462;
971e : -250;
971f : -524;
9720 : 72;
9721 : 889;
9722 : 512;
9723 : 1032;
9724 : 596;
9725 : -638;
9726 : -1205;
9727 : 81;
9728 : 2214;
9729 : 4420;
972a : 5049;
972b : 3409;
972c : -95;
972d : -3746;
972e : -4990;
972f : -2504;
9730 : 942;
9731 : 2250;
9732 : 1631;
9733 : -24;
9734 : -160;
9735 : 766;
9736 : 1132;
9737 : 576;
9738 : -29;
9739 : 1852;
973a : 1545;
973b : 1275;
973c : 961;
973d : 611;
973e : -903;
973f : -2358;
9740 : -2698;
9741 : -1491;
9742 : -633;
9743 : 974;
9744 : 2020;
9745 : 970;
9746 : 1280;
9747 : 1408;
9748 : 1680;
9749 : 1902;
974a : 2651;
974b : 2921;
974c : 442;
974d : -2793;
974e : -5107;
974f : -4785;
9750 : -2979;
9751 : -681;
9752 : -102;
9753 : -897;
9754 : -1158;
9755 : -653;
9756 : 668;
9757 : 1326;
9758 : 1367;
9759 : 568;
975a : 518;
975b : 430;
975c : -79;
975d : -1395;
975e : -2978;
975f : -3627;
9760 : -3248;
9761 : -1557;
9762 : -65;
9763 : 670;
9764 : 1332;
9765 : -43;
9766 : -1470;
9767 : -1506;
9768 : -1565;
9769 : 113;
976a : 3144;
976b : 5865;
976c : 5931;
976d : 2156;
976e : -1560;
976f : -3790;
9770 : -3624;
9771 : -1851;
9772 : -520;
9773 : -1002;
9774 : -1503;
9775 : -208;
9776 : 1578;
9777 : 2136;
9778 : 2078;
9779 : 1933;
977a : 1583;
977b : 2092;
977c : 1413;
977d : 784;
977e : 605;
977f : 239;
9780 : 225;
9781 : -275;
9782 : -547;
9783 : -39;
9784 : -28;
9785 : 240;
9786 : 64;
9787 : 909;
9788 : 1614;
9789 : 2499;
978a : 3629;
978b : 4363;
978c : 4373;
978d : 2407;
978e : -1854;
978f : -4690;
9790 : -5942;
9791 : -4987;
9792 : -3228;
9793 : -1741;
9794 : -703;
9795 : 214;
9796 : 1392;
9797 : 2091;
9798 : 1783;
9799 : 1276;
979a : 939;
979b : 1082;
979c : 1390;
979d : 1123;
979e : -167;
979f : -2039;
97a0 : -3211;
97a1 : -2554;
97a2 : -1702;
97a3 : -486;
97a4 : 110;
97a5 : -922;
97a6 : -2399;
97a7 : -2883;
97a8 : -2460;
97a9 : -596;
97aa : 635;
97ab : 2721;
97ac : 4199;
97ad : 2535;
97ae : -650;
97af : -3370;
97b0 : -5366;
97b1 : -3583;
97b2 : -449;
97b3 : 736;
97b4 : 52;
97b5 : -208;
97b6 : 475;
97b7 : 780;
97b8 : 97;
97b9 : -947;
97ba : -1553;
97bb : -664;
97bc : 947;
97bd : 2943;
97be : 3972;
97bf : 4224;
97c0 : 3786;
97c1 : 2431;
97c2 : 939;
97c3 : 106;
97c4 : 27;
97c5 : -674;
97c6 : -757;
97c7 : -1535;
97c8 : -1529;
97c9 : -976;
97ca : -7;
97cb : 1848;
97cc : 3663;
97cd : 3815;
97ce : 1878;
97cf : -739;
97d0 : -2540;
97d1 : -2339;
97d2 : -1336;
97d3 : 29;
97d4 : 363;
97d5 : -828;
97d6 : -1995;
97d7 : -810;
97d8 : 624;
97d9 : 1247;
97da : 1739;
97db : 2304;
97dc : 2013;
97dd : 1667;
97de : 1179;
97df : -853;
97e0 : -2370;
97e1 : -3537;
97e2 : -4224;
97e3 : -3327;
97e4 : -1310;
97e5 : 189;
97e6 : 1501;
97e7 : 941;
97e8 : 371;
97e9 : 530;
97ea : 611;
97eb : 749;
97ec : 2423;
97ed : 3286;
97ee : 2389;
97ef : 26;
97f0 : -3903;
97f1 : -6764;
97f2 : -6355;
97f3 : -3777;
97f4 : -1844;
97f5 : -1054;
97f6 : -992;
97f7 : 57;
97f8 : 1658;
97f9 : 2564;
97fa : 2483;
97fb : 1694;
97fc : 2078;
97fd : 1588;
97fe : 1048;
97ff : 741;
9800 : 144;
9801 : -197;
9802 : 27;
9803 : -159;
9804 : 144;
9805 : -137;
9806 : -105;
9807 : -115;
9808 : -550;
9809 : 63;
980a : -354;
980b : -717;
980c : 719;
980d : 3012;
980e : 4480;
980f : 3507;
9810 : 937;
9811 : -528;
9812 : -1523;
9813 : -1506;
9814 : -630;
9815 : -68;
9816 : -1129;
9817 : -1199;
9818 : 119;
9819 : 303;
981a : 664;
981b : 759;
981c : 859;
981d : 990;
981e : 888;
981f : 359;
9820 : -1072;
9821 : -1405;
9822 : -646;
9823 : 241;
9824 : 458;
9825 : 1290;
9826 : 1000;
9827 : 218;
9828 : -2254;
9829 : -3379;
982a : -2387;
982b : -393;
982c : 1818;
982d : 4236;
982e : 5823;
982f : 5168;
9830 : 1679;
9831 : -2746;
9832 : -5816;
9833 : -6567;
9834 : -4591;
9835 : -2825;
9836 : -2137;
9837 : -1120;
9838 : 924;
9839 : 2955;
983a : 2606;
983b : 824;
983c : -691;
983d : -2074;
983e : -3692;
983f : -3581;
9840 : -2527;
9841 : -545;
9842 : 1589;
9843 : 4172;
9844 : 4072;
9845 : 2781;
9846 : 874;
9847 : -675;
9848 : -1349;
9849 : -1054;
984a : 602;
984b : 1525;
984c : 55;
984d : -142;
984e : 865;
984f : 1671;
9850 : 1386;
9851 : 601;
9852 : 879;
9853 : 1360;
9854 : 2209;
9855 : 1396;
9856 : -2000;
9857 : -4911;
9858 : -4870;
9859 : -2517;
985a : -410;
985b : 101;
985c : -39;
985d : -180;
985e : 280;
985f : 2000;
9860 : 3074;
9861 : 3637;
9862 : 3278;
9863 : 2685;
9864 : 1919;
9865 : 1882;
9866 : 1921;
9867 : 1179;
9868 : 103;
9869 : -2317;
986a : -4159;
986b : -4930;
986c : -5001;
986d : -2564;
986e : 2595;
986f : 5804;
9870 : 6477;
9871 : 4564;
9872 : 514;
9873 : -3219;
9874 : -5201;
9875 : -4047;
9876 : -1507;
9877 : -191;
9878 : -467;
9879 : -476;
987a : -307;
987b : -353;
987c : 269;
987d : 1302;
987e : 1645;
987f : 2325;
9880 : 2179;
9881 : -726;
9882 : -4477;
9883 : -5905;
9884 : -5472;
9885 : -4648;
9886 : -2328;
9887 : 12;
9888 : 1330;
9889 : 1179;
988a : 1734;
988b : 2347;
988c : 2398;
988d : 1721;
988e : 1811;
988f : 2431;
9890 : 3234;
9891 : 3459;
9892 : 1800;
9893 : -440;
9894 : -1980;
9895 : -1704;
9896 : -915;
9897 : -2166;
9898 : -4359;
9899 : -3401;
989a : -705;
989b : 2019;
989c : 3761;
989d : 3689;
989e : 3009;
989f : 1728;
98a0 : 908;
98a1 : -18;
98a2 : -381;
98a3 : -959;
98a4 : -781;
98a5 : -296;
98a6 : 936;
98a7 : 2327;
98a8 : 2966;
98a9 : 2267;
98aa : -401;
98ab : -1942;
98ac : -3103;
98ad : -4205;
98ae : -3098;
98af : 713;
98b0 : 4215;
98b1 : 5144;
98b2 : 3119;
98b3 : 321;
98b4 : -2564;
98b5 : -3331;
98b6 : -1206;
98b7 : 549;
98b8 : 1028;
98b9 : 405;
98ba : -745;
98bb : -1710;
98bc : -1922;
98bd : -1505;
98be : -640;
98bf : 175;
98c0 : 1166;
98c1 : 2126;
98c2 : 1351;
98c3 : -1048;
98c4 : -2581;
98c5 : -3060;
98c6 : -3000;
98c7 : -1090;
98c8 : 358;
98c9 : 1310;
98ca : 865;
98cb : -204;
98cc : -916;
98cd : -1229;
98ce : -675;
98cf : 81;
98d0 : 1557;
98d1 : 3518;
98d2 : 4009;
98d3 : 2699;
98d4 : -281;
98d5 : -3003;
98d6 : -3190;
98d7 : -1979;
98d8 : -1357;
98d9 : -1864;
98da : -1558;
98db : 164;
98dc : 1296;
98dd : 919;
98de : 371;
98df : 232;
98e0 : 226;
98e1 : 1371;
98e2 : 2169;
98e3 : 2541;
98e4 : 2758;
98e5 : 2051;
98e6 : 684;
98e7 : -52;
98e8 : -74;
98e9 : -1027;
98ea : -2145;
98eb : -3265;
98ec : -2903;
98ed : -1318;
98ee : -577;
98ef : 834;
98f0 : 3515;
98f1 : 5495;
98f2 : 5336;
98f3 : 2633;
98f4 : -1174;
98f5 : -3560;
98f6 : -3475;
98f7 : -1451;
98f8 : -85;
98f9 : 570;
98fa : -253;
98fb : -1367;
98fc : -2204;
98fd : -2817;
98fe : -2405;
98ff : -1165;
9900 : 458;
9901 : 2454;
9902 : 4019;
9903 : 3833;
9904 : 2334;
9905 : 24;
9906 : -1611;
9907 : -2071;
9908 : -1056;
9909 : -94;
990a : 1141;
990b : 902;
990c : -303;
990d : -936;
990e : -1446;
990f : -1477;
9910 : -680;
9911 : 250;
9912 : 974;
9913 : 1042;
9914 : -106;
9915 : -1897;
9916 : -3525;
9917 : -2755;
9918 : -562;
9919 : 595;
991a : 319;
991b : 151;
991c : 433;
991d : 393;
991e : -169;
991f : -357;
9920 : 32;
9921 : 564;
9922 : 1528;
9923 : 1244;
9924 : 908;
9925 : 188;
9926 : -1031;
9927 : -2324;
9928 : -1612;
9929 : 617;
992a : 1779;
992b : 1586;
992c : 1055;
992d : 1147;
992e : 1347;
992f : 991;
9930 : 893;
9931 : 1684;
9932 : 2882;
9933 : 3015;
9934 : 778;
9935 : -1801;
9936 : -2824;
9937 : -2701;
9938 : -1582;
9939 : -607;
993a : -531;
993b : -1271;
993c : -1697;
993d : -1801;
993e : -1267;
993f : -441;
9940 : 577;
9941 : 1094;
9942 : 2244;
9943 : 3624;
9944 : 3872;
9945 : 2820;
9946 : 1182;
9947 : 89;
9948 : -847;
9949 : -1191;
994a : -1410;
994b : -564;
994c : -666;
994d : -1432;
994e : -2692;
994f : -2837;
9950 : -1775;
9951 : 107;
9952 : 1911;
9953 : 3247;
9954 : 4161;
9955 : 3106;
9956 : -134;
9957 : -3028;
9958 : -3157;
9959 : -1998;
995a : -1396;
995b : -1629;
995c : -1792;
995d : -1164;
995e : -502;
995f : -496;
9960 : -741;
9961 : -315;
9962 : -217;
9963 : -274;
9964 : -448;
9965 : 134;
9966 : 1005;
9967 : 924;
9968 : -481;
9969 : -379;
996a : 543;
996b : 1032;
996c : 257;
996d : -731;
996e : -335;
996f : 1110;
9970 : 1557;
9971 : 1884;
9972 : 2933;
9973 : 4525;
9974 : 4737;
9975 : 2828;
9976 : -132;
9977 : -2614;
9978 : -3389;
9979 : -2811;
997a : -1639;
997b : -713;
997c : -583;
997d : -150;
997e : -362;
997f : -465;
9980 : 16;
9981 : 388;
9982 : 111;
9983 : 976;
9984 : 2230;
9985 : 2458;
9986 : 1562;
9987 : 919;
9988 : 35;
9989 : -400;
998a : -240;
998b : -1010;
998c : -1038;
998d : -1298;
998e : -1780;
998f : -2121;
9990 : -1911;
9991 : -989;
9992 : 634;
9993 : 1679;
9994 : 2396;
9995 : 2794;
9996 : 2039;
9997 : -59;
9998 : -1705;
9999 : -1464;
999a : 41;
999b : 675;
999c : 29;
999d : -1047;
999e : -1471;
999f : -2263;
99a0 : -3785;
99a1 : -4045;
99a2 : -2590;
99a3 : -645;
99a4 : 817;
99a5 : 1620;
99a6 : 2458;
99a7 : 2610;
99a8 : 2160;
99a9 : 355;
99aa : -449;
99ab : -125;
99ac : 188;
99ad : -677;
99ae : -1746;
99af : -1533;
99b0 : -117;
99b1 : 240;
99b2 : 566;
99b3 : 1109;
99b4 : 1913;
99b5 : 2383;
99b6 : 1536;
99b7 : 443;
99b8 : -659;
99b9 : -923;
99ba : -537;
99bb : 71;
99bc : 433;
99bd : 925;
99be : 1176;
99bf : 605;
99c0 : 331;
99c1 : 447;
99c2 : 568;
99c3 : 355;
99c4 : 935;
99c5 : 1526;
99c6 : 1543;
99c7 : 584;
99c8 : -1412;
99c9 : -3190;
99ca : -3310;
99cb : -2062;
99cc : -707;
99cd : 493;
99ce : 1320;
99cf : 1175;
99d0 : 494;
99d1 : 36;
99d2 : -142;
99d3 : 422;
99d4 : 1383;
99d5 : 2469;
99d6 : 2517;
99d7 : 1352;
99d8 : -137;
99d9 : -1337;
99da : -1448;
99db : -654;
99dc : -675;
99dd : -1479;
99de : -2048;
99df : -1606;
99e0 : -814;
99e1 : -739;
99e2 : -247;
99e3 : 500;
99e4 : 894;
99e5 : 1053;
99e6 : 980;
99e7 : 877;
99e8 : 695;
99e9 : 643;
99ea : -260;
99eb : -602;
99ec : -310;
99ed : -54;
99ee : -649;
99ef : -1576;
99f0 : -2522;
99f1 : -2646;
99f2 : -2179;
99f3 : -1353;
99f4 : 77;
99f5 : 1842;
99f6 : 3005;
99f7 : 3237;
99f8 : 2254;
99f9 : 1098;
99fa : 160;
99fb : -323;
99fc : -460;
99fd : -784;
99fe : -1315;
99ff : -1558;
9a00 : -1201;
9a01 : -330;
9a02 : 181;
9a03 : 747;
9a04 : 611;
9a05 : 372;
9a06 : 812;
9a07 : 1344;
9a08 : 1572;
9a09 : 1353;
9a0a : 718;
9a0b : 580;
9a0c : 110;
9a0d : -456;
9a0e : -493;
9a0f : -734;
9a10 : -1438;
9a11 : -1202;
9a12 : -465;
9a13 : -41;
9a14 : 1043;
9a15 : 3037;
9a16 : 4388;
9a17 : 4109;
9a18 : 2198;
9a19 : -923;
9a1a : -3276;
9a1b : -3638;
9a1c : -2558;
9a1d : -1422;
9a1e : -1134;
9a1f : -740;
9a20 : 153;
9a21 : 324;
9a22 : -207;
9a23 : -233;
9a24 : 198;
9a25 : 550;
9a26 : 545;
9a27 : 498;
9a28 : 152;
9a29 : 346;
9a2a : 722;
9a2b : 385;
9a2c : 597;
9a2d : 39;
9a2e : -633;
9a2f : -1383;
9a30 : -2137;
9a31 : -2036;
9a32 : -1434;
9a33 : -760;
9a34 : -318;
9a35 : 156;
9a36 : 1155;
9a37 : 1417;
9a38 : 799;
9a39 : 7;
9a3a : -638;
9a3b : -858;
9a3c : -286;
9a3d : 976;
9a3e : 895;
9a3f : -370;
9a40 : -909;
9a41 : -1320;
9a42 : -2143;
9a43 : -2718;
9a44 : -2245;
9a45 : -1196;
9a46 : 265;
9a47 : 1557;
9a48 : 2594;
9a49 : 2714;
9a4a : 2608;
9a4b : 2315;
9a4c : 1945;
9a4d : 1573;
9a4e : 1660;
9a4f : 1735;
9a50 : 709;
9a51 : -769;
9a52 : -826;
9a53 : -859;
9a54 : -1174;
9a55 : -1140;
9a56 : -401;
9a57 : 1220;
9a58 : 1953;
9a59 : 1358;
9a5a : -33;
9a5b : -934;
9a5c : -363;
9a5d : 241;
9a5e : 353;
9a5f : -286;
9a60 : -722;
9a61 : -748;
9a62 : -1125;
9a63 : -1853;
9a64 : -1479;
9a65 : -654;
9a66 : 508;
9a67 : 1447;
9a68 : 2258;
9a69 : 2057;
9a6a : 538;
9a6b : -1216;
9a6c : -2563;
9a6d : -2286;
9a6e : -1807;
9a6f : -1500;
9a70 : -942;
9a71 : -366;
9a72 : 437;
9a73 : 1512;
9a74 : 1653;
9a75 : 1225;
9a76 : 1315;
9a77 : 1813;
9a78 : 1616;
9a79 : 489;
9a7a : -483;
9a7b : -1340;
9a7c : -2218;
9a7d : -1956;
9a7e : -1951;
9a7f : -2669;
9a80 : -3256;
9a81 : -2809;
9a82 : -1082;
9a83 : -167;
9a84 : 454;
9a85 : 1210;
9a86 : 1130;
9a87 : 997;
9a88 : 740;
9a89 : 923;
9a8a : 741;
9a8b : 1013;
9a8c : 1083;
9a8d : 1189;
9a8e : 1653;
9a8f : 2087;
9a90 : 1782;
9a91 : 810;
9a92 : -817;
9a93 : -1517;
9a94 : -1646;
9a95 : -1993;
9a96 : -1417;
9a97 : 195;
9a98 : 2360;
9a99 : 3565;
9a9a : 3004;
9a9b : 1908;
9a9c : 805;
9a9d : 371;
9a9e : 504;
9a9f : 258;
9aa0 : -435;
9aa1 : -1278;
9aa2 : -1415;
9aa3 : -1151;
9aa4 : -1412;
9aa5 : -1068;
9aa6 : -1172;
9aa7 : -1757;
9aa8 : -1427;
9aa9 : -343;
9aaa : 388;
9aab : 770;
9aac : 427;
9aad : 775;
9aae : 914;
9aaf : 950;
9ab0 : 643;
9ab1 : 52;
9ab2 : -378;
9ab3 : -766;
9ab4 : -167;
9ab5 : -303;
9ab6 : -386;
9ab7 : 332;
9ab8 : 1560;
9ab9 : 2647;
9aba : 2490;
9abb : 759;
9abc : -1206;
9abd : -2850;
9abe : -2789;
9abf : -1865;
9ac0 : -1755;
9ac1 : -1607;
9ac2 : -1061;
9ac3 : 17;
9ac4 : 502;
9ac5 : 235;
9ac6 : 202;
9ac7 : 75;
9ac8 : 404;
9ac9 : 366;
9aca : 477;
9acb : 555;
9acc : 652;
9acd : 53;
9ace : -192;
9acf : -743;
9ad0 : -1031;
9ad1 : -1187;
9ad2 : -1674;
9ad3 : -1664;
9ad4 : -585;
9ad5 : 726;
9ad6 : 1183;
9ad7 : 1160;
9ad8 : 1686;
9ad9 : 2564;
9ada : 2724;
9adb : 1786;
9adc : 615;
9add : -182;
9ade : -472;
9adf : 503;
9ae0 : 1404;
9ae1 : 872;
9ae2 : 330;
9ae3 : -543;
9ae4 : -1477;
9ae5 : -2356;
9ae6 : -2158;
9ae7 : -1690;
9ae8 : -1524;
9ae9 : -587;
9aea : 924;
9aeb : 1404;
9aec : 1318;
9aed : 1092;
9aee : 1041;
9aef : 1345;
9af0 : 1412;
9af1 : 1079;
9af2 : 349;
9af3 : -719;
9af4 : -1176;
9af5 : -1106;
9af6 : -848;
9af7 : -868;
9af8 : -750;
9af9 : 68;
9afa : 984;
9afb : 1454;
9afc : 995;
9afd : -252;
9afe : -1052;
9aff : -905;
9b00 : -379;
9b01 : -629;
9b02 : -1400;
9b03 : -1613;
9b04 : -1187;
9b05 : -1015;
9b06 : -1028;
9b07 : -402;
9b08 : 637;
9b09 : 1308;
9b0a : 1928;
9b0b : 2157;
9b0c : 1062;
9b0d : 163;
9b0e : -1135;
9b0f : -1884;
9b10 : -1986;
9b11 : -1499;
9b12 : -1088;
9b13 : -1543;
9b14 : -1932;
9b15 : -948;
9b16 : 109;
9b17 : 188;
9b18 : -242;
9b19 : 456;
9b1a : 1966;
9b1b : 2788;
9b1c : 2926;
9b1d : 2440;
9b1e : 1484;
9b1f : 710;
9b20 : 167;
9b21 : -467;
9b22 : -1299;
9b23 : -1621;
9b24 : -1106;
9b25 : -766;
9b26 : -286;
9b27 : 785;
9b28 : 1169;
9b29 : 1069;
9b2a : 1149;
9b2b : 1622;
9b2c : 1360;
9b2d : 989;
9b2e : 427;
9b2f : 280;
9b30 : 887;
9b31 : 1460;
9b32 : 1319;
9b33 : 906;
9b34 : -582;
9b35 : -1807;
9b36 : -1667;
9b37 : -1760;
9b38 : -1416;
9b39 : -855;
9b3a : 157;
9b3b : 1302;
9b3c : 1684;
9b3d : 1280;
9b3e : 58;
9b3f : -988;
9b40 : -1052;
9b41 : -78;
9b42 : 64;
9b43 : -435;
9b44 : -263;
9b45 : 467;
9b46 : -89;
9b47 : -629;
9b48 : -1039;
9b49 : -1963;
9b4a : -2423;
9b4b : -2042;
9b4c : -1022;
9b4d : -283;
9b4e : 292;
9b4f : 344;
9b50 : 427;
9b51 : 734;
9b52 : 1273;
9b53 : 1027;
9b54 : -274;
9b55 : -1255;
9b56 : -859;
9b57 : -629;
9b58 : -1189;
9b59 : -965;
9b5a : -115;
9b5b : 1326;
9b5c : 2233;
9b5d : 1730;
9b5e : 362;
9b5f : -770;
9b60 : -1890;
9b61 : -1626;
9b62 : -1080;
9b63 : -344;
9b64 : 652;
9b65 : 943;
9b66 : 941;
9b67 : 556;
9b68 : 912;
9b69 : 445;
9b6a : 310;
9b6b : 694;
9b6c : 1225;
9b6d : 1497;
9b6e : 1473;
9b6f : 938;
9b70 : 708;
9b71 : 158;
9b72 : -202;
9b73 : -253;
9b74 : -890;
9b75 : -1833;
9b76 : -2154;
9b77 : -1277;
9b78 : -507;
9b79 : -200;
9b7a : 324;
9b7b : 1146;
9b7c : 1832;
9b7d : 1883;
9b7e : 1083;
9b7f : -716;
9b80 : -2456;
9b81 : -2527;
9b82 : -1630;
9b83 : -1847;
9b84 : -2288;
9b85 : -2422;
9b86 : -2228;
9b87 : -2395;
9b88 : -2551;
9b89 : -2031;
9b8a : -1487;
9b8b : -783;
9b8c : 669;
9b8d : 1608;
9b8e : 2239;
9b8f : 2930;
9b90 : 3294;
9b91 : 3140;
9b92 : 2722;
9b93 : 2508;
9b94 : 1724;
9b95 : 762;
9b96 : 248;
9b97 : 218;
9b98 : 531;
9b99 : 348;
9b9a : -481;
9b9b : -156;
9b9c : 1000;
9b9d : 1739;
9b9e : 1763;
9b9f : 1456;
9ba0 : 1172;
9ba1 : 1013;
9ba2 : 1726;
9ba3 : 1862;
9ba4 : 1034;
9ba5 : 175;
9ba6 : -372;
9ba7 : -435;
9ba8 : -564;
9ba9 : -321;
9baa : 79;
9bab : 814;
9bac : 1839;
9bad : 3296;
9bae : 3306;
9baf : 2705;
9bb0 : 1389;
9bb1 : 381;
9bb2 : 154;
9bb3 : 466;
9bb4 : 1210;
9bb5 : 1215;
9bb6 : 278;
9bb7 : 127;
9bb8 : 177;
9bb9 : -315;
9bba : -708;
9bbb : -625;
9bbc : 106;
9bbd : 1280;
9bbe : 2248;
9bbf : 1856;
9bc0 : 630;
9bc1 : -830;
9bc2 : -2068;
9bc3 : -2579;
9bc4 : -3239;
9bc5 : -3926;
9bc6 : -3838;
9bc7 : -3575;
9bc8 : -3241;
9bc9 : -2767;
9bca : -2741;
9bcb : -2920;
9bcc : -3100;
9bcd : -2551;
9bce : -2498;
9bcf : -2667;
9bd0 : -2634;
9bd1 : -2969;
9bd2 : -2814;
9bd3 : -1763;
9bd4 : -859;
9bd5 : -703;
9bd6 : -1630;
9bd7 : -3027;
9bd8 : -3433;
9bd9 : -3751;
9bda : -3996;
9bdb : -3713;
9bdc : 10;
9bdd : 3221;
9bde : 3455;
9bdf : 1931;
9be0 : 1861;
9be1 : -3517;
9be2 : -8406;
9be3 : -8793;
9be4 : -6354;
9be5 : -758;
9be6 : 3969;
9be7 : 6136;
9be8 : 4437;
9be9 : 596;
9bea : 125;
9beb : -1148;
9bec : -1295;
9bed : 675;
9bee : 2489;
9bef : 3337;
9bf0 : 2118;
9bf1 : 957;
9bf2 : -1118;
9bf3 : -2948;
9bf4 : -1360;
9bf5 : 1831;
9bf6 : 3937;
9bf7 : 5569;
9bf8 : 6918;
9bf9 : 6347;
9bfa : 3136;
9bfb : 297;
9bfc : -1474;
9bfd : -642;
9bfe : 3188;
9bff : 7964;
9c00 : 11631;
9c01 : 14202;
9c02 : 13035;
9c03 : 11332;
9c04 : 4574;
9c05 : -3061;
9c06 : -7016;
9c07 : -5848;
9c08 : -657;
9c09 : 5585;
9c0a : 8234;
9c0b : 8269;
9c0c : 2722;
9c0d : -2424;
9c0e : -2594;
9c0f : -264;
9c10 : 4543;
9c11 : 8542;
9c12 : 9814;
9c13 : 8225;
9c14 : 4681;
9c15 : 149;
9c16 : -5266;
9c17 : -9394;
9c18 : -10090;
9c19 : -8374;
9c1a : -4940;
9c1b : -2620;
9c1c : -1563;
9c1d : -2124;
9c1e : -2587;
9c1f : -3793;
9c20 : -1477;
9c21 : -1071;
9c22 : 2380;
9c23 : 3430;
9c24 : 1683;
9c25 : -2258;
9c26 : -5843;
9c27 : -10835;
9c28 : -15267;
9c29 : -18154;
9c2a : -15313;
9c2b : -8586;
9c2c : -839;
9c2d : 5431;
9c2e : 5733;
9c2f : 973;
9c30 : -4045;
9c31 : -5053;
9c32 : -3680;
9c33 : -1592;
9c34 : 1949;
9c35 : 4284;
9c36 : 1445;
9c37 : 58;
9c38 : -1813;
9c39 : -3984;
9c3a : -4429;
9c3b : -1439;
9c3c : -2049;
9c3d : -3254;
9c3e : -3468;
9c3f : -1944;
END;
embedded system/hardware/background.v 0100777 0000000 0000000 00000014420 13466631477 015177 0 ustar 00 // megafunction wizard: %ROM: 1-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: background.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 18.1.0 Build 625 09/12/2018 SJ Standard Edition
// ************************************************************
//Copyright (C) 2018 Intel Corporation. All rights reserved.
//Your use of Intel Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Intel Program License
//Subscription Agreement, the Intel Quartus Prime License Agreement,
//the Intel FPGA IP License Agreement, or other applicable license
//agreement, including, without limitation, that your use is for
//the sole purpose of programming logic devices manufactured by
//Intel and sold by Intel or its authorized distributors. Please
//refer to the applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module background (
address,
clock,
q);
input [15:0] address;
input clock;
output [15:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [15:0] sub_wire0;
wire [15:0] q = sub_wire0[15:0];
altsyncram altsyncram_component (
.address_a (address),
.clock0 (clock),
.q_a (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.address_b (1'b1),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_a ({16{1'b1}}),
.data_b (1'b1),
.eccstatus (),
.q_b (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_a (1'b0),
.wren_b (1'b0));
defparam
altsyncram_component.address_aclr_a = "NONE",
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_output_a = "BYPASS",
altsyncram_component.init_file = "background.mif",
altsyncram_component.intended_device_family = "Cyclone V",
altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 40000,
altsyncram_component.operation_mode = "ROM",
altsyncram_component.outdata_aclr_a = "NONE",
altsyncram_component.outdata_reg_a = "CLOCK0",
altsyncram_component.widthad_a = 16,
altsyncram_component.width_a = 16,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: Clken NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING "background.mif"
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "40000"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
// Retrieval info: PRIVATE: WidthAddr NUMERIC "16"
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: INIT_FILE STRING "background.mif"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "40000"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: address 0 0 16 0 INPUT NODEFVAL "address[15..0]"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
// Retrieval info: CONNECT: @address_a 0 0 16 0 address 0 0 16 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
// Retrieval info: GEN_FILE: TYPE_NORMAL background.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL background.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL background.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL background.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL background_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL background_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
embedded system/hardware/background.v~ 0100777 0000000 0000000 00000014275 13466631477 015405 0 ustar 00 // megafunction wizard: %ROM: 1-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: shoot.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 13.1.1 Build 166 11/26/2013 SJ Full Version
// ************************************************************
//Copyright (C) 1991-2013 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module shoot (
address,
clock,
q);
input [7:0] address;
input clock;
output [15:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [15:0] sub_wire0;
wire [15:0] q = sub_wire0[15:0];
altsyncram altsyncram_component (
.address_a (address),
.clock0 (clock),
.q_a (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.address_b (1'b1),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_a ({16{1'b1}}),
.data_b (1'b1),
.eccstatus (),
.q_b (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_a (1'b0),
.wren_b (1'b0));
defparam
altsyncram_component.address_aclr_a = "NONE",
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_output_a = "BYPASS",
altsyncram_component.init_file = "../shoot.mif",
altsyncram_component.intended_device_family = "Cyclone V",
altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 13800,
altsyncram_component.operation_mode = "ROM",
altsyncram_component.outdata_aclr_a = "NONE",
altsyncram_component.outdata_reg_a = "UNREGISTERED",
altsyncram_component.widthad_a = 8,
altsyncram_component.width_a = 16,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: Clken NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING "../alarm.mif"
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "20000"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
// Retrieval info: PRIVATE: RegOutput NUMERIC "0"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
// Retrieval info: PRIVATE: WidthAddr NUMERIC "15"
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: INIT_FILE STRING "../alarm.mif"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "20000"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm_bb.v FALSE
// Retrieval info: LIB_FILE: altera_mf
embedded system/hardware/Makefile 0100777 0000000 0000000 00000013133 13466631477 014331 0 ustar 00 SYSTEM = soc_system
TCL = $(SYSTEM).tcl
QSYS = $(SYSTEM).qsys
SOPCINFO = $(SYSTEM).sopcinfo
QIP = $(SYSTEM)/synthesis/$(SYSTEM).qip
HPS_PIN_TCL = $(SYSTEM)/synthesis/submodules/hps_sdram_p0_pin_assignments.tcl
HPS_PIN_MAP = hps_sdram_p0_all_pins.txt
QPF = $(SYSTEM).qpf
QSF = $(SYSTEM).qsf
SDC = $(SYSTEM).sdc
BOARD_INFO = $(SYSTEM)_board_info.xml
DTS = $(SYSTEM).dts
DTB = $(SYSTEM).dtb
SOF = output_files/$(SYSTEM).sof
RBF = output_files/$(SYSTEM).rbf
SOFTWARE_DIR = software
BSP_DIR = $(SOFTWARE_DIR)/spl_bsp
BSP_SETTINGS = $(BSP_DIR)/settings.bsp
PRELOADER_SETTINGS_DIR = hps_isw_handoff/soc_system_hps_0
PRELOADER_MAKEFILE = $(BSP_DIR)/Makefile
PRELOADER_MKPIMAGE = $(BSP_DIR)/preloader-mkpimage.bin
UBOOT_IMAGE = $(BSP_DIR)/uboot-socfpga/u-boot.img
KERNEL_REPO = https://github.com/altera-opensource/linux-socfpga.git
KERNEL_BRANCH = socfpga-4.19
DEFAULT_CONFIG = socfpga_defconfig
CROSS = env CROSS_COMPILE=arm-altera-eabi- ARCH=arm
KERNEL_DIR = $(SOFTWARE_DIR)/linux-socfpga
KERNEL_CONFIG = $(KERNEL_DIR)/.config
ZIMAGE = $(KERNEL_DIR)/arch/arm/boot/zImage
TARFILES = Makefile \
$(TCL) \
$(QSYS) \
$(SYSTEM)_top.sv \
$(BOARD_INFO) \
ip/intr_capturer/intr_capturer.v \
ip/intr_capturer/intr_capturer_hw.tcl \
vga_ball.sv
TARFILE = lab3-hw.tar.gz
# project
#
# Run the topmost tcl script to generate the initial project files
.PHONY : project
project : $(QPF) $(QSF) $(SDC)
$(QPF) $(QSF) $(SDC) : $(TCL)
quartus_sh -t $(TCL)
# qsys
#
# From the .qsys file, generate the .sopcinfo, .qip, and directory
# (named according to the system) with all the Verilog files, etc.
.PHONY : qsys
qsys : $(SOPCINFO)
$(SOPCINFO) $(QIP) $(HPS_PIN_TCL) $(SYSTEM)/ $(PRELOADER_SETTINGS_DIR) : $(QSYS)
rm -rf $(SOPCINFO) $(SYSTEM)/
qsys-generate $(QSYS) --synthesis=VERILOG
# quartus
#
# Run Quartus on the Qsys-generated files
#
# Build netlist
# quartus_map soc_system
#
# Use netlist information to determine HPS stuff
# quartus_sta -t hps_sdram_p0_pin_assignments.tcl soc_system
#
# Do the rest
# FIXME: this is wasteful. Really want not to repeat the "map" step
# quartus_sh --flow compile
#
# quartus_fit
# quartus_asm
# quartus_sta
.PHONY : quartus
quartus : $(SOF)
$(SOF) $(HPS_PIN_MAP) : $(QIP) $(QPF) $(QSF) $(HPS_PIN_TCL)
quartus_map $(SYSTEM)
quartus_sta -t $(HPS_PIN_TCL) $(SYSTEM)
quartus_fit $(SYSTEM)
quartus_asm $(SYSTEM)
# quartus_sh --flow compile $(QPF)
# rbf
#
# Convert the .sof file (for programming through the USB blaster)
# to an .rbf file to be placed on an SD card and written by u-boot
.PHONY : rbf
rbf : $(RBF)
$(RBF) : $(SOF)
quartus_cpf -c $(SOF) $(RBF)
# dtb
#
# Use the .sopcinfo file to generate a device tree blob file
# with information about the memory map of the peripherals
.PHONY : dtb
dtb : $(DTB)
$(DTB) : $(DTS)
dtc -I dts -O dtb -o $(DTB) $(DTS)
$(DTS) : $(SOPCINFO) $(BOARD_INFO)
sopc2dts --input $(SOPCINFO) \
--output $(DTS) \
--type dts \
--board $(BOARD_INFO) \
--clocks
# preloader
#
# Builds the SPL's preloader-mkpimage.bin image file, which should
# be written to the "magic" 3rd parition on the SD card
# in software/spl_bsp
#
# Requires the embedded_command_shell.sh script to have run so the compiler,
# etc is available
#
.PHONY : preloader
preloader : $(PRELOADER_MKPIMAGE)
$(PRELOADER_MKPIMAGE) : $(PRELOADER_MAKEFILE) $(BSP_SETTINGS)
$(MAKE) -C $(BSP_DIR)
$(BSP_SETTINGS) $(PRELOADER_MAKEFILE) : $(PRELOADER_SETTINGS_DIR)
mkdir -p $(BSP_DIR)
bsp-create-settings \
--type spl \
--bsp-dir $(BSP_DIR) \
--settings $(BSP_SETTINGS) \
--preloader-settings-dir $(PRELOADER_SETTINGS_DIR) \
--set spl.boot.FAT_SUPPORT 1
# uboot
#
# Build the bootloader
.PHONY : uboot
uboot : $(UBOOT_IMAGE)
$(UBOOT_IMAGE) : $(PRELOADER_MAKEFILE) $(BSP_SETTINGS)
$(MAKE) -C $(BSP_DIR) uboot
# kernel-download
#
# Clone the Linux kernel repository
#
# kernel-config
#
# Set up the kernel configuration
#
# zimage
#
# Compile the kernel
.PHONY : download-kernel config-kernel zimage
kernel-download : $(KERNEL_DIR)
kernel-config : $(KERNEL_CONFIG)
zimage : $(ZIMAGE)
$(KERNEL_DIR) :
mkdir -p $(KERNEL_DIR)
git clone --branch $(KERNEL_BRANCH) $(KERNEL_REPO) $(KERNEL_DIR)
# Configure the kernel. Start from a provided default,
#
# Turn off version checking (makes it easier to compile kernel
# modules and not have them complain about version)
#
# Turn on large file (+2TB) support, which the ext4 filesystem
# requires by default (it will not be able to mount the root
# filesystem read/write otherwise)
$(KERNEL_CONFIG) : $(KERNEL_DIR)
$(CROSS) $(MAKE) -C $(KERNEL_DIR) $(DEFAULT_CONFIG)
$(KERNEL_DIR)/scripts/config --file $(KERNEL_CONFIG) \
--disable CONFIG_LOCALVERSION_AUTO \
--enable CONFIG_LBDAF \
--disable CONFIG_XFS_FS \
--disable CONFIG_GFS2_FS \
--disable CONFIG_TEST_KMOD
# Compile the kernel
$(ZIMAGE) : $(KERNEL_CONFIG)
$(CROSS) $(MAKE) -C $(KERNEL_DIR) LOCALVERSION= zImage
# tar
#
# Build soc_system.tar.gz
.phony : tar
tar : $(TARFILE)
$(TARFILE) : $(TARFILES)
tar zcfC $(TARFILE) .. $(TARFILES:%=lab3-hw/%)
# clean
#
# Remove all generated files
.PHONY : clean quartus-clean qsys-clean project-clean
clean : quartus-clean qsys-clean project-clean dtb-clean preloader-clean \
uboot-clean
project-clean :
rm -rf $(QPF) $(QSF) $(SDC)
qsys-clean :
rm -rf $(SOPCINFO) $(QIP) $(SYSTEM)/ .qsys_edit \
hps_isw_handoff/ hps_sdram_p0_summary.csv
quartus-clean :
rm -rf $(SOF) output_files db incremental_db $(SYSTEM).qdf \
c5_pin_model_dump.txt $(HPS_PIN_MAP)
dtb-clean :
rm -rf $(DTS) $(DTB)
preloader-clean :
rm -rf $(BSP_DIR)
uboot-clean :
rm -rf $(BSP_DIR)/uboot-socfpga
kernel-clean :
rm -rf $(KERNEL_DIR)
config-clean :
rm -rf $(KERNEL_CONFIG)
embedded system/hardware/ps2_mouse.sv 0100777 0000000 0000000 00000016303 13466631477 015161 0 ustar 00 //
// Permission:
//
// Terasic grants permission to use and modify this code for use
// in synthesis for all Terasic Development Boards and Altera Development
// Kits made by Terasic. Other use of this code, including the selling
// ,duplication, or modification of any portion is strictly prohibited.
//
// Disclaimer:
//
// This VHDL/Verilog or C/C++ source code is intended as a design reference
// which illustrates how these types of functions can be implemented.
// It is the user's responsibility to verify their design for
// consistency and functionality through the use of formal
// verification methods. Terasic provides no warranty regarding the use
// or functionality of this code.
//
// --------------------------------------------------------------------
//
// Terasic Technologies Inc
// 356 Fu-Shin E. Rd Sec. 1. JhuBei City,
// HsinChu County, Taiwan
// 302
//
// web: http://www.terasic.com/
// email: support@terasic.com
//
// --------------------------------------------------------------------
//
// Major Functions: DE2_115_PS2 Mouse Controller
//
// --------------------------------------------------------------------
//
// Revision History :
// --------------------------------------------------------------------
// Ver :| Author :| Mod. Date :| Changes Made:
// V1.0 :| Johnny FAN,HdHuang :| 05/16/10 :| Initial Revision
// --------------------------------------------------------------------
module ps2_mouse(input logic clk,
input logic reset,
input logic read,
input logic write,
input chipselect,
input logic [7:0] address,
inout PS2_CLK,
inout PS2_DAT,
output logic [15:0] readdata,
input logic [15:0] writedata
);
//interface;
//=======================================================
// PORT declarations
//=======================================================
parameter enable_byte =9'b011110100;
//=======================================================
// REG/WIRE declarations
//=======================================================
reg [1:0] cur_state,nex_state;
reg ce,de;
reg [3:0] byte_cnt,delay;
reg [5:0] ct;
reg [7:0] x_latch,y_latch,cnt;
reg [8:0] clk_div;
reg [9:0] dout_reg;
reg [32:0] shift_reg;
reg leflatch,riglatch,midlatch;
reg ps2_clk_in,ps2_clk_syn1,ps2_dat_in,ps2_dat_syn1;
wire clk_100,ps2_dat_syn0,ps2_clk_syn0,ps2_dat_out,ps2_clk_out,flag;
reg iSTART;
//=======================================================
// PARAMETER declarations
//=======================================================
//state define
parameter listen =2'b00,
pullclk=2'b01,
pulldat=2'b10,
trans =2'b11;
//=======================================================
// Structural coding
//=======================================================
//clk division, derive a 97.65625KHz clock from the 50MHz source;
always_ff @(posedge clk) begin
if(reset)
clk_div <= 0;
else
clk_div <= clk_div+1;
end
assign clk_100 = clk_div[8];
//tristate output control for PS2_DAT and PS2_CLK;
assign PS2_CLK = ce?ps2_clk_out:1'bZ;
assign PS2_DAT = de?ps2_dat_out:1'bZ;
assign ps2_clk_out = 1'b0;
assign ps2_dat_out = dout_reg[0];
assign ps2_clk_syn0 = ce?1'b1:PS2_CLK;
assign ps2_dat_syn0 = de?1'b1:PS2_DAT;
/* always_ff @(posedge clk_100) begin
if (reset) begin
x_latch <= 0;
y_latch <= 0;
leflatch <= 0;
end
end
*/
//multi-clock region simple synchronization
always_ff @(posedge clk_100) begin
ps2_clk_syn1 <= ps2_clk_syn0;
ps2_clk_in <= ps2_clk_syn1;
ps2_dat_syn1 <= ps2_dat_syn0;
ps2_dat_in <= ps2_dat_syn1;
end
//FSM shift
always_comb begin
case(cur_state)
listen :begin
if ((!iSTART) && (cnt == 8'b11111111))
nex_state = pullclk;
else
nex_state = listen;
ce = 1'b0;
de = 1'b0;
end
pullclk :begin
if (delay == 4'b1100)
nex_state = pulldat;
else
nex_state = pullclk;
ce = 1'b1;
de = 1'b0;
end
pulldat :begin
nex_state = trans;
ce = 1'b1;
de = 1'b1;
end
trans :begin
if (byte_cnt == 4'b1010)
nex_state = listen;
else
nex_state = trans;
ce = 1'b0;
de = 1'b1;
end
default : nex_state = listen;
endcase
end
//idle counter
always_ff @(posedge clk_100) begin
if ({ps2_clk_in,ps2_dat_in} == 2'b11)
begin
cnt <= cnt+1;
end
else begin
cnt <= 8'd0;
end
end
//periodically reset ct; ct counts the received data length;
assign flag = (cnt == 8'hff)?1:0;
always_ff @(posedge ps2_clk_in,posedge flag)
begin
if (flag)
ct <= 6'b000000;
else
ct <= ct+1;
end
//latch data from shift_reg;outputs is of 2's complement;
//Please treat the cnt value here with caution, otherwise wrong data will be latched.
always_ff @(posedge clk)
begin
if (chipselect && read)
begin
case(address)
8'd176: readdata[7:0] <= x_latch[7:0];
8'd177: readdata[7:0] <= y_latch[7:0];
8'd178: readdata[7:0] <= {7'b0,leflatch};
8'd179: readdata[7:0] <= {7'b0,riglatch};
8'd180: readdata[7:0] <= {7'b0,midlatch};
endcase
end
else if (chipselect && write)
begin
case(address)
8'd174: iSTART <= writedata[0];
//8'd175: iRST_n <= writedata[0];
endcase
end
end
always_ff @(posedge clk_100)
begin
if (reset)
begin
leflatch <= 1'b0;
riglatch <= 1'b0;
midlatch <= 1'b0;
x_latch <= 8'd0;
y_latch <= 8'd0;
end
else if (cnt == 8'b00011110 && (ct[5] == 1'b1 || ct[4] == 1'b1))
begin
leflatch <= shift_reg[1];
riglatch <= shift_reg[2];
midlatch <= shift_reg[3];
x_latch <= x_latch+shift_reg[19 : 12];
y_latch <= y_latch+shift_reg[30 : 23];
end
end
//pull ps2_clk low for 100us before transmit starts;
always_ff @(posedge clk_100)
begin
if (cur_state == pullclk)
delay <= delay+1;
else
delay <= 4'b0000;
end
//transmit data to ps2 device;eg. 0xF4
always_ff @(negedge ps2_clk_in)
begin
if (cur_state == trans)
dout_reg <= {1'b0,dout_reg[9:1]};
else
dout_reg <= {enable_byte,1'b0};
end
//transmit byte length counter
always_ff @(negedge ps2_clk_in)
begin
if (cur_state == trans)
byte_cnt <= byte_cnt+1;
else
byte_cnt <= 4'b0000;
end
//receive data from ps2 device;
always_ff @(negedge ps2_clk_in)
begin
if (cur_state == listen)
shift_reg <= {ps2_dat_in,shift_reg[32:1]};
end
//FSM movement
always_ff @(posedge clk_100)
begin
if (reset)
cur_state <= listen;
else
cur_state <= nex_state;
end
endmodule
embedded system/hardware/shoot.mif 0100777 0000000 0000000 00000164673 13466631477 014542 0 ustar 00 WIDTH = 16;
DEPTH = 4978;
ADDRESS_RADIX = HEX;
DATA_RADIX = DEC;
CONTENT BEGIN
0 : -1;
1 : -1;
2 : -1;
3 : -1;
4 : -1;
5 : -1;
6 : -1;
7 : -1;
8 : -1;
9 : -1;
a : -1;
b : -1;
c : -1;
d : -1;
e : -1;
f : -1;
10 : -1;
11 : -1;
12 : -1;
13 : -1;
14 : -1;
15 : -1;
16 : -1;
17 : -1;
18 : -1;
19 : -1;
1a : -1;
1b : -1;
1c : -1;
1d : -1;
1e : -1;
1f : -1;
20 : -1;
21 : -1;
22 : -1;
23 : -1;
24 : 0;
25 : -2;
26 : 0;
27 : -3;
28 : 3;
29 : -7;
2a : 10;
2b : -41;
2c : -142;
2d : -123;
2e : -133;
2f : -127;
30 : -131;
31 : -128;
32 : -130;
33 : -129;
34 : -129;
35 : -129;
36 : -129;
37 : -129;
38 : -129;
39 : -128;
3a : -129;
3b : -128;
3c : -130;
3d : -126;
3e : -141;
3f : -257;
40 : -142;
41 : -369;
42 : -513;
43 : -401;
44 : -433;
45 : -201;
46 : -252;
47 : -322;
48 : -270;
49 : -327;
4a : -235;
4b : -327;
4c : -627;
4d : -471;
4e : -562;
4f : -486;
50 : -646;
51 : -645;
52 : -422;
53 : -401;
54 : -209;
55 : -324;
56 : -289;
57 : -273;
58 : -247;
59 : -138;
5a : -129;
5b : -124;
5c : -135;
5d : -174;
5e : -344;
5f : -136;
60 : -120;
61 : -79;
62 : -36;
63 : -78;
64 : -68;
65 : 14;
66 : -39;
67 : -74;
68 : 6;
69 : 71;
6a : 46;
6b : 225;
6c : 303;
6d : 546;
6e : 398;
6f : 259;
70 : 117;
71 : -28;
72 : 11;
73 : -6;
74 : -4;
75 : 11;
76 : -78;
77 : -130;
78 : 19;
79 : -21;
7a : 34;
7b : 370;
7c : 853;
7d : 1250;
7e : 1323;
7f : 996;
80 : 513;
81 : 176;
82 : -33;
83 : 451;
84 : 526;
85 : 468;
86 : 59;
87 : -57;
88 : -317;
89 : -241;
8a : -327;
8b : -366;
8c : -661;
8d : -859;
8e : -791;
8f : -878;
90 : -1149;
91 : -934;
92 : -787;
93 : -747;
94 : -841;
95 : -818;
96 : -746;
97 : -796;
98 : -597;
99 : -490;
9a : -534;
9b : -578;
9c : -265;
9d : -301;
9e : -119;
9f : -261;
a0 : -138;
a1 : -168;
a2 : -288;
a3 : -171;
a4 : -121;
a5 : -132;
a6 : -127;
a7 : -130;
a8 : -128;
a9 : -130;
aa : -129;
ab : -129;
ac : -129;
ad : -129;
ae : -129;
af : -129;
b0 : -129;
b1 : -129;
b2 : -130;
b3 : -128;
b4 : -131;
b5 : -126;
b6 : -133;
b7 : -122;
b8 : -143;
b9 : -70;
ba : 13;
bb : -8;
bc : 3;
bd : -4;
be : 1;
bf : -2;
c0 : 0;
c1 : -1;
c2 : -1;
c3 : -1;
c4 : -1;
c5 : -1;
c6 : -1;
c7 : -1;
c8 : -1;
c9 : -1;
ca : -1;
cb : -1;
cc : -1;
cd : -1;
ce : -1;
cf : -1;
d0 : -1;
d1 : -1;
d2 : -1;
d3 : -1;
d4 : -1;
d5 : -1;
d6 : -1;
d7 : -1;
d8 : -1;
d9 : -1;
da : -1;
db : -1;
dc : -1;
dd : -1;
de : -1;
df : -1;
e0 : -1;
e1 : -1;
e2 : -1;
e3 : -1;
e4 : -1;
e5 : -1;
e6 : -1;
e7 : -1;
e8 : -1;
e9 : -1;
ea : -1;
eb : 0;
ec : -2;
ed : 1;
ee : -4;
ef : 3;
f0 : -8;
f1 : 13;
f2 : -66;
f3 : -143;
f4 : -122;
f5 : -133;
f6 : -126;
f7 : -131;
f8 : -128;
f9 : -130;
fa : -128;
fb : -131;
fc : -126;
fd : -133;
fe : -122;
ff : -140;
100 : -108;
101 : -226;
102 : -198;
103 : -118;
104 : -278;
105 : -218;
106 : -116;
107 : -237;
108 : -263;
109 : -296;
10a : -309;
10b : -255;
10c : -287;
10d : -309;
10e : -275;
10f : -256;
110 : -336;
111 : -239;
112 : -456;
113 : -723;
114 : -744;
115 : -784;
116 : -759;
117 : -776;
118 : -765;
119 : -772;
11a : -767;
11b : -772;
11c : -759;
11d : -664;
11e : -815;
11f : -645;
120 : -415;
121 : -541;
122 : -493;
123 : -564;
124 : -551;
125 : -538;
126 : -484;
127 : -602;
128 : -448;
129 : -531;
12a : -506;
12b : -462;
12c : -445;
12d : -574;
12e : -548;
12f : -529;
130 : -369;
131 : -324;
132 : -247;
133 : -300;
134 : -304;
135 : -269;
136 : -245;
137 : -169;
138 : -258;
139 : -266;
13a : -315;
13b : -282;
13c : -265;
13d : -209;
13e : -113;
13f : -260;
140 : -240;
141 : -118;
142 : -211;
143 : -281;
144 : -123;
145 : -189;
146 : -235;
147 : -102;
148 : -256;
149 : -244;
14a : -131;
14b : -127;
14c : -239;
14d : -267;
14e : -294;
14f : -313;
150 : -242;
151 : -311;
152 : -199;
153 : -367;
154 : -445;
155 : -506;
156 : -513;
157 : -536;
158 : -569;
159 : -534;
15a : -506;
15b : -479;
15c : -557;
15d : -505;
15e : -568;
15f : -549;
160 : -527;
161 : -483;
162 : -433;
163 : -503;
164 : -118;
165 : -379;
166 : -86;
167 : 520;
168 : 599;
169 : 501;
16a : 498;
16b : -167;
16c : -281;
16d : -117;
16e : 2;
16f : -38;
170 : -102;
171 : -182;
172 : -318;
173 : -233;
174 : -288;
175 : -162;
176 : -364;
177 : -673;
178 : -793;
179 : -730;
17a : -1002;
17b : -1293;
17c : -1261;
17d : -1518;
17e : -1334;
17f : -1298;
180 : -1293;
181 : -1275;
182 : -1279;
183 : -1059;
184 : -986;
185 : -770;
186 : -769;
187 : -770;
188 : -765;
189 : -774;
18a : -759;
18b : -784;
18c : -740;
18d : -882;
18e : -1027;
18f : -1160;
190 : -1338;
191 : -1322;
192 : -1298;
193 : -1125;
194 : -1228;
195 : -979;
196 : -1053;
197 : -1033;
198 : -1030;
199 : -826;
19a : -756;
19b : -767;
19c : -852;
19d : -789;
19e : -760;
19f : -774;
1a0 : -766;
1a1 : -771;
1a2 : -768;
1a3 : -770;
1a4 : -768;
1a5 : -770;
1a6 : -767;
1a7 : -772;
1a8 : -764;
1a9 : -779;
1aa : -855;
1ab : -775;
1ac : -749;
1ad : -691;
1ae : -599;
1af : -350;
1b0 : -329;
1b1 : -249;
1b2 : -241;
1b3 : -107;
1b4 : -232;
1b5 : -266;
1b6 : -125;
1b7 : -185;
1b8 : -284;
1b9 : -161;
1ba : -122;
1bb : -132;
1bc : -127;
1bd : -130;
1be : -128;
1bf : -129;
1c0 : -129;
1c1 : -129;
1c2 : -129;
1c3 : -129;
1c4 : -129;
1c5 : -129;
1c6 : -129;
1c7 : -129;
1c8 : -129;
1c9 : -130;
1ca : -128;
1cb : -131;
1cc : -126;
1cd : -133;
1ce : -121;
1cf : -154;
1d0 : -256;
1d1 : -112;
1d2 : -213;
1d3 : -276;
1d4 : -138;
1d5 : -165;
1d6 : -289;
1d7 : -171;
1d8 : -135;
1d9 : -266;
1da : -294;
1db : -309;
1dc : -253;
1dd : -289;
1de : -308;
1df : -274;
1e0 : -258;
1e1 : -334;
1e2 : -242;
1e3 : -458;
1e4 : -828;
1e5 : -726;
1e6 : -817;
1e7 : -808;
1e8 : -936;
1e9 : -1070;
1ea : -834;
1eb : -838;
1ec : -741;
1ed : -795;
1ee : -891;
1ef : -842;
1f0 : -671;
1f1 : -745;
1f2 : -545;
1f3 : -705;
1f4 : -779;
1f5 : -510;
1f6 : -527;
1f7 : -491;
1f8 : -595;
1f9 : -455;
1fa : -518;
1fb : -593;
1fc : -481;
1fd : -442;
1fe : -573;
1ff : -556;
200 : -508;
201 : -442;
202 : -359;
203 : -267;
204 : -261;
205 : -158;
206 : 8;
207 : -6;
208 : 8;
209 : -54;
20a : -114;
20b : -169;
20c : -237;
20d : -268;
20e : -300;
20f : -303;
210 : -253;
211 : -294;
212 : -310;
213 : -263;
214 : -275;
215 : -318;
216 : -270;
217 : -266;
218 : -176;
219 : -128;
21a : -288;
21b : -196;
21c : -136;
21d : -171;
21e : -128;
21f : -115;
220 : -219;
221 : -253;
222 : -309;
223 : -194;
224 : -385;
225 : -508;
226 : -410;
227 : -637;
228 : -543;
229 : -580;
22a : -488;
22b : -594;
22c : -656;
22d : -483;
22e : -530;
22f : -556;
230 : -555;
231 : -526;
232 : -478;
233 : -443;
234 : -484;
235 : -142;
236 : -291;
237 : 271;
238 : 1131;
239 : 1586;
23a : 1643;
23b : 1145;
23c : 241;
23d : -284;
23e : -68;
23f : 398;
240 : 561;
241 : 190;
242 : -87;
243 : -188;
244 : -269;
245 : -254;
246 : -149;
247 : -427;
248 : -753;
249 : -855;
24a : -1173;
24b : -1519;
24c : -1591;
24d : -1608;
24e : -1599;
24f : -1572;
250 : -1615;
251 : -1528;
252 : -1538;
253 : -1543;
254 : -1525;
255 : -1561;
256 : -1479;
257 : -1497;
258 : -1349;
259 : -1013;
25a : -1018;
25b : -1295;
25c : -1459;
25d : -1479;
25e : -1460;
25f : -1541;
260 : -1531;
261 : -1548;
262 : -1516;
263 : -1618;
264 : -1568;
265 : -1537;
266 : -1503;
267 : -1455;
268 : -1572;
269 : -1433;
26a : -1537;
26b : -1471;
26c : -1178;
26d : -1336;
26e : -1305;
26f : -1234;
270 : -1318;
271 : -1365;
272 : -1293;
273 : -1294;
274 : -1342;
275 : -1300;
276 : -1284;
277 : -1340;
278 : -1308;
279 : -1296;
27a : -989;
27b : -1072;
27c : -774;
27d : -782;
27e : -741;
27f : -828;
280 : -436;
281 : -183;
282 : -280;
283 : -259;
284 : -190;
285 : -139;
286 : -140;
287 : -90;
288 : -148;
289 : -118;
28a : -136;
28b : -125;
28c : -131;
28d : -127;
28e : -130;
28f : -128;
290 : -130;
291 : -128;
292 : -130;
293 : -128;
294 : -131;
295 : -123;
296 : -165;
297 : -290;
298 : -170;
299 : -141;
29a : -248;
29b : -165;
29c : -269;
29d : -253;
29e : -203;
29f : -295;
2a0 : -283;
2a1 : -237;
2a2 : -40;
2a3 : 24;
2a4 : -47;
2a5 : -72;
2a6 : 16;
2a7 : -9;
2a8 : 3;
2a9 : -2;
2aa : -2;
2ab : 3;
2ac : -6;
2ad : -125;
2ae : -268;
2af : -277;
2b0 : -19;
2b1 : -175;
2b2 : -285;
2b3 : -139;
2b4 : -47;
2b5 : -340;
2b6 : -256;
2b7 : -260;
2b8 : -292;
2b9 : -317;
2ba : -241;
2bb : -616;
2bc : -805;
2bd : -748;
2be : -788;
2bf : -676;
2c0 : -755;
2c1 : -781;
2c2 : -754;
2c3 : -794;
2c4 : -708;
2c5 : -722;
2c6 : -716;
2c7 : -714;
2c8 : -792;
2c9 : -755;
2ca : -777;
2cb : -767;
2cc : -908;
2cd : -828;
2ce : -741;
2cf : -887;
2d0 : -860;
2d1 : -758;
2d2 : -771;
2d3 : -772;
2d4 : -756;
2d5 : -848;
2d6 : -801;
2d7 : -753;
2d8 : -781;
2d9 : -591;
2da : -753;
2db : -691;
2dc : -741;
2dd : -693;
2de : -756;
2df : -834;
2e0 : -819;
2e1 : -751;
2e2 : -779;
2e3 : -763;
2e4 : -773;
2e5 : -767;
2e6 : -870;
2e7 : -752;
2e8 : -790;
2e9 : -737;
2ea : -832;
2eb : -892;
2ec : -1199;
2ed : -1355;
2ee : -1188;
2ef : -1394;
2f0 : -1463;
2f1 : -1468;
2f2 : -1549;
2f3 : -1474;
2f4 : -1483;
2f5 : -1570;
2f6 : -1486;
2f7 : -1469;
2f8 : -1552;
2f9 : -1530;
2fa : -1540;
2fb : -1536;
2fc : -1541;
2fd : -1680;
2fe : -1584;
2ff : -1531;
300 : -1451;
301 : -1527;
302 : -1543;
303 : -1534;
304 : -1460;
305 : -1418;
306 : -1128;
307 : -887;
308 : -927;
309 : -1037;
30a : -865;
30b : -910;
30c : -818;
30d : -914;
30e : -812;
30f : -754;
310 : -792;
311 : -862;
312 : -737;
313 : -809;
314 : -577;
315 : -538;
316 : -487;
317 : -322;
318 : -248;
319 : -316;
31a : -103;
31b : 16;
31c : -174;
31d : 0;
31e : -91;
31f : -18;
320 : 11;
321 : 306;
322 : 171;
323 : 176;
324 : 196;
325 : -57;
326 : 89;
327 : 175;
328 : 293;
329 : 506;
32a : 684;
32b : 1217;
32c : 1708;
32d : 2255;
32e : 2100;
32f : 1822;
330 : 2441;
331 : 2175;
332 : 343;
333 : -419;
334 : 492;
335 : 678;
336 : 79;
337 : 114;
338 : 115;
339 : -238;
33a : -700;
33b : -862;
33c : -830;
33d : -1213;
33e : -834;
33f : -735;
340 : -936;
341 : -1521;
342 : -1218;
343 : -1335;
344 : -1201;
345 : -733;
346 : -796;
347 : -748;
348 : -793;
349 : -598;
34a : -405;
34b : -703;
34c : -439;
34d : -425;
34e : -171;
34f : -230;
350 : -181;
351 : -241;
352 : -484;
353 : -210;
354 : -246;
355 : -340;
356 : -537;
357 : -499;
358 : -539;
359 : -681;
35a : -750;
35b : -609;
35c : -549;
35d : -584;
35e : -727;
35f : -687;
360 : -727;
361 : -775;
362 : -774;
363 : -751;
364 : -690;
365 : -700;
366 : -361;
367 : -372;
368 : -212;
369 : -225;
36a : -182;
36b : -234;
36c : -166;
36d : -281;
36e : -187;
36f : -126;
370 : -259;
371 : -137;
372 : -124;
373 : -191;
374 : -141;
375 : -145;
376 : -388;
377 : -262;
378 : 46;
379 : -130;
37a : -256;
37b : -291;
37c : -242;
37d : -144;
37e : -122;
37f : -104;
380 : -144;
381 : -120;
382 : -135;
383 : -126;
384 : -131;
385 : -128;
386 : -129;
387 : -129;
388 : -129;
389 : -129;
38a : -129;
38b : -129;
38c : -129;
38d : -129;
38e : -129;
38f : -129;
390 : -129;
391 : -129;
392 : -129;
393 : -129;
394 : -129;
395 : -129;
396 : -129;
397 : -129;
398 : -129;
399 : -129;
39a : -129;
39b : -129;
39c : -129;
39d : -129;
39e : -129;
39f : -129;
3a0 : -128;
3a1 : -133;
3a2 : -250;
3a3 : -250;
3a4 : -100;
3a5 : -244;
3a6 : -176;
3a7 : -136;
3a8 : -269;
3a9 : -259;
3aa : -317;
3ab : -286;
3ac : -258;
3ad : -302;
3ae : -303;
3af : -257;
3b0 : -286;
3b1 : -316;
3b2 : -257;
3b3 : -284;
3b4 : -299;
3b5 : -302;
3b6 : -215;
3b7 : -335;
3b8 : -262;
3b9 : -489;
3ba : -1355;
3bb : -1130;
3bc : -963;
3bd : -733;
3be : -796;
3bf : -740;
3c0 : -850;
3c1 : -808;
3c2 : -755;
3c3 : -778;
3c4 : -763;
3c5 : -774;
3c6 : -769;
3c7 : -700;
3c8 : -264;
3c9 : -225;
3ca : -369;
3cb : -204;
3cc : -255;
3cd : -274;
3ce : -305;
3cf : -301;
3d0 : -216;
3d1 : -177;
3d2 : -126;
3d3 : -212;
3d4 : -262;
3d5 : -184;
3d6 : -108;
3d7 : -10;
3d8 : -156;
3d9 : -17;
3da : 12;
3db : -45;
3dc : -76;
3dd : 32;
3de : -115;
3df : -102;
3e0 : 26;
3e1 : -70;
3e2 : -135;
3e3 : 8;
3e4 : -27;
3e5 : -145;
3e6 : -28;
3e7 : 8;
3e8 : -130;
3e9 : -77;
3ea : 36;
3eb : -115;
3ec : -91;
3ed : -22;
3ee : -169;
3ef : -220;
3f0 : -249;
3f1 : -238;
3f2 : -294;
3f3 : -473;
3f4 : -507;
3f5 : -593;
3f6 : -530;
3f7 : -639;
3f8 : -790;
3f9 : -675;
3fa : -671;
3fb : -793;
3fc : -635;
3fd : -574;
3fe : -543;
3ff : -601;
400 : -619;
401 : -654;
402 : -658;
403 : -716;
404 : -801;
405 : -719;
406 : -700;
407 : -783;
408 : -763;
409 : -770;
40a : -779;
40b : -986;
40c : -940;
40d : -1038;
40e : -1022;
40f : -1349;
410 : -1305;
411 : -1327;
412 : -1322;
413 : -1197;
414 : -1168;
415 : -1060;
416 : -807;
417 : -852;
418 : -744;
419 : -811;
41a : -856;
41b : -678;
41c : -591;
41d : -543;
41e : -435;
41f : -172;
420 : -218;
421 : -265;
422 : -291;
423 : -307;
424 : -273;
425 : -181;
426 : -157;
427 : 613;
428 : 1748;
429 : 1974;
42a : 2043;
42b : 1711;
42c : 109;
42d : -1076;
42e : -219;
42f : 470;
430 : 89;
431 : -47;
432 : -259;
433 : -674;
434 : -791;
435 : -757;
436 : -837;
437 : -1303;
438 : -1560;
439 : -1534;
43a : -1536;
43b : -2033;
43c : -2361;
43d : -2264;
43e : -2358;
43f : -2034;
440 : -1676;
441 : -1786;
442 : -1707;
443 : -1636;
444 : -1494;
445 : -1606;
446 : -1593;
447 : -1516;
448 : -1556;
449 : -1509;
44a : -1467;
44b : -1504;
44c : -1462;
44d : -1291;
44e : -786;
44f : -937;
450 : -1087;
451 : -1032;
452 : -857;
453 : -973;
454 : -994;
455 : -933;
456 : -886;
457 : -798;
458 : -833;
459 : -814;
45a : -760;
45b : -867;
45c : -767;
45d : -654;
45e : -536;
45f : -426;
460 : -580;
461 : -547;
462 : -449;
463 : -403;
464 : -304;
465 : -307;
466 : -247;
467 : -190;
468 : -217;
469 : -277;
46a : -289;
46b : -312;
46c : -253;
46d : -257;
46e : -107;
46f : -225;
470 : -199;
471 : -116;
472 : -280;
473 : -216;
474 : -123;
475 : -131;
476 : -128;
477 : -130;
478 : -128;
479 : -130;
47a : -129;
47b : -129;
47c : -129;
47d : -129;
47e : -129;
47f : -129;
480 : -129;
481 : -130;
482 : -128;
483 : -131;
484 : -126;
485 : -133;
486 : -122;
487 : -143;
488 : -53;
489 : 12;
48a : -8;
48b : 3;
48c : -4;
48d : 1;
48e : -2;
48f : 0;
490 : -1;
491 : -1;
492 : -1;
493 : -1;
494 : -1;
495 : -1;
496 : -1;
497 : -1;
498 : -1;
499 : -1;
49a : -1;
49b : -1;
49c : -1;
49d : -1;
49e : -1;
49f : -1;
4a0 : -1;
4a1 : -1;
4a2 : -1;
4a3 : -1;
4a4 : -1;
4a5 : -1;
4a6 : -1;
4a7 : -1;
4a8 : -1;
4a9 : -1;
4aa : -1;
4ab : -1;
4ac : -1;
4ad : -1;
4ae : -1;
4af : -1;
4b0 : -1;
4b1 : -1;
4b2 : -1;
4b3 : -1;
4b4 : -1;
4b5 : -1;
4b6 : -1;
4b7 : -1;
4b8 : -1;
4b9 : -1;
4ba : -1;
4bb : -1;
4bc : -1;
4bd : -1;
4be : -1;
4bf : -1;
4c0 : -1;
4c1 : -1;
4c2 : -1;
4c3 : -1;
4c4 : -1;
4c5 : -1;
4c6 : -1;
4c7 : -1;
4c8 : -1;
4c9 : -1;
4ca : -1;
4cb : -1;
4cc : -1;
4cd : -1;
4ce : -1;
4cf : -1;
4d0 : -1;
4d1 : -1;
4d2 : -1;
4d3 : -1;
4d4 : -1;
4d5 : -1;
4d6 : -1;
4d7 : -1;
4d8 : -1;
4d9 : -1;
4da : -1;
4db : -1;
4dc : -1;
4dd : -1;
4de : -1;
4df : -1;
4e0 : -1;
4e1 : -1;
4e2 : -1;
4e3 : -1;
4e4 : -1;
4e5 : -1;
4e6 : -1;
4e7 : -1;
4e8 : -1;
4e9 : -1;
4ea : -1;
4eb : -1;
4ec : -1;
4ed : -1;
4ee : -1;
4ef : -1;
4f0 : -1;
4f1 : -1;
4f2 : -1;
4f3 : -1;
4f4 : -1;
4f5 : -1;
4f6 : -1;
4f7 : -1;
4f8 : -1;
4f9 : -1;
4fa : -1;
4fb : 0;
4fc : -2;
4fd : 0;
4fe : -3;
4ff : 3;
500 : -7;
501 : 10;
502 : -41;
503 : -142;
504 : -123;
505 : -133;
506 : -127;
507 : -131;
508 : -128;
509 : -130;
50a : -129;
50b : -130;
50c : -128;
50d : -130;
50e : -127;
50f : -132;
510 : -125;
511 : -135;
512 : -117;
513 : -166;
514 : -251;
515 : -105;
516 : -228;
517 : -285;
518 : -313;
519 : -272;
51a : -263;
51b : -178;
51c : -226;
51d : -277;
51e : -286;
51f : -323;
520 : -223;
521 : -372;
522 : -840;
523 : -758;
524 : -763;
525 : -924;
526 : -823;
527 : -739;
528 : -972;
529 : -948;
52a : -1004;
52b : -933;
52c : -957;
52d : -1299;
52e : -1239;
52f : -1235;
530 : -1100;
531 : -972;
532 : -961;
533 : -999;
534 : -918;
535 : -776;
536 : -766;
537 : -774;
538 : -758;
539 : -801;
53a : -852;
53b : -744;
53c : -792;
53d : -728;
53e : -693;
53f : -789;
540 : -755;
541 : -787;
542 : -708;
543 : -669;
544 : -448;
545 : -507;
546 : -337;
547 : -256;
548 : -210;
549 : -199;
54a : -215;
54b : -188;
54c : -324;
54d : -326;
54e : -76;
54f : 18;
550 : -13;
551 : 13;
552 : -30;
553 : -87;
554 : 25;
555 : -26;
556 : 138;
557 : 59;
558 : 38;
559 : 200;
55a : 44;
55b : -13;
55c : 42;
55d : 264;
55e : 293;
55f : 387;
560 : 246;
561 : 225;
562 : 400;
563 : 405;
564 : 492;
565 : 429;
566 : 548;
567 : 397;
568 : 525;
569 : 477;
56a : 250;
56b : 269;
56c : 275;
56d : 50;
56e : 68;
56f : -26;
570 : 96;
571 : 11;
572 : -17;
573 : 64;
574 : 52;
575 : -33;
576 : -66;
577 : -33;
578 : -153;
579 : -301;
57a : -121;
57b : -186;
57c : 243;
57d : 2022;
57e : 1330;
57f : -3473;
580 : -6485;
581 : -5216;
582 : -3950;
583 : -4204;
584 : -2432;
585 : 1130;
586 : 981;
587 : -2125;
588 : -4069;
589 : -4501;
58a : -2910;
58b : -651;
58c : 601;
58d : 293;
58e : -1036;
58f : -3065;
590 : -3534;
591 : -2559;
592 : -1566;
593 : -78;
594 : 1310;
595 : 1622;
596 : 680;
597 : 34;
598 : -133;
599 : -221;
59a : 147;
59b : 807;
59c : 1228;
59d : 1206;
59e : 1378;
59f : 2184;
5a0 : 3165;
5a1 : 3457;
5a2 : 3335;
5a3 : 2965;
5a4 : 2103;
5a5 : 911;
5a6 : 996;
5a7 : 1637;
5a8 : 1980;
5a9 : 1644;
5aa : 1128;
5ab : 598;
5ac : -140;
5ad : -258;
5ae : -317;
5af : -228;
5b0 : 76;
5b1 : -117;
5b2 : -219;
5b3 : -738;
5b4 : -1407;
5b5 : -1468;
5b6 : -890;
5b7 : -777;
5b8 : -557;
5b9 : -683;
5ba : -745;
5bb : -1208;
5bc : -1593;
5bd : -1566;
5be : -1616;
5bf : -1425;
5c0 : -1526;
5c1 : -1549;
5c2 : -1512;
5c3 : -1893;
5c4 : -2385;
5c5 : -2023;
5c6 : -1538;
5c7 : -1534;
5c8 : -1442;
5c9 : -1546;
5ca : -1533;
5cb : -1535;
5cc : -1436;
5cd : -1561;
5ce : -1476;
5cf : -1449;
5d0 : -1407;
5d1 : -1520;
5d2 : -1542;
5d3 : -1536;
5d4 : -1535;
5d5 : -1446;
5d6 : -1537;
5d7 : -1541;
5d8 : -1528;
5d9 : -1458;
5da : -1470;
5db : -1066;
5dc : -1159;
5dd : -972;
5de : -760;
5df : -875;
5e0 : -734;
5e1 : -792;
5e2 : -427;
5e3 : -296;
5e4 : -352;
5e5 : -600;
5e6 : -453;
5e7 : -423;
5e8 : -221;
5e9 : -277;
5ea : -276;
5eb : -238;
5ec : -138;
5ed : -158;
5ee : -201;
5ef : -265;
5f0 : -194;
5f1 : -123;
5f2 : -16;
5f3 : -89;
5f4 : 18;
5f5 : -9;
5f6 : -95;
5f7 : 12;
5f8 : -54;
5f9 : -356;
5fa : -243;
5fb : -264;
5fc : -300;
5fd : -301;
5fe : -267;
5ff : -151;
600 : -125;
601 : -129;
602 : -135;
603 : -25;
604 : 7;
605 : -5;
606 : 2;
607 : -3;
608 : 0;
609 : -2;
60a : -1;
60b : -1;
60c : -1;
60d : -1;
60e : -1;
60f : -1;
610 : -1;
611 : -1;
612 : -1;
613 : -1;
614 : -1;
615 : -1;
616 : -1;
617 : -1;
618 : -1;
619 : -1;
61a : -1;
61b : -1;
61c : -1;
61d : -1;
61e : -1;
61f : -1;
620 : -1;
621 : -1;
622 : -1;
623 : -1;
624 : -1;
625 : -1;
626 : -1;
627 : -1;
628 : -1;
629 : -1;
62a : -1;
62b : -1;
62c : -1;
62d : -1;
62e : -1;
62f : -1;
630 : -1;
631 : -1;
632 : -1;
633 : -1;
634 : -1;
635 : -1;
636 : -1;
637 : -1;
638 : -1;
639 : -1;
63a : -1;
63b : -1;
63c : -1;
63d : -1;
63e : -1;
63f : -1;
640 : -1;
641 : -1;
642 : -1;
643 : -1;
644 : -1;
645 : -1;
646 : -1;
647 : -1;
648 : -1;
649 : -1;
64a : -1;
64b : -1;
64c : -1;
64d : -1;
64e : -1;
64f : -1;
650 : -1;
651 : -1;
652 : -1;
653 : -1;
654 : -1;
655 : -1;
656 : -1;
657 : -1;
658 : -1;
659 : -1;
65a : -1;
65b : -1;
65c : -1;
65d : -1;
65e : -1;
65f : -1;
660 : -1;
661 : -1;
662 : -1;
663 : -1;
664 : -1;
665 : 0;
666 : -2;
667 : 1;
668 : -4;
669 : 3;
66a : -8;
66b : 12;
66c : -78;
66d : -144;
66e : -120;
66f : -137;
670 : -120;
671 : -144;
672 : -15;
673 : -82;
674 : -152;
675 : -168;
676 : -129;
677 : -273;
678 : -214;
679 : -179;
67a : -293;
67b : -142;
67c : -161;
67d : -265;
67e : -267;
67f : -314;
680 : -284;
681 : -253;
682 : -316;
683 : -282;
684 : -283;
685 : -198;
686 : -302;
687 : -230;
688 : -1053;
689 : -1283;
68a : -1300;
68b : -1328;
68c : -1159;
68d : -1215;
68e : -1098;
68f : -1082;
690 : -777;
691 : -810;
692 : -842;
693 : -750;
694 : -778;
695 : -764;
696 : -770;
697 : -771;
698 : -760;
699 : -675;
69a : -781;
69b : -759;
69c : -780;
69d : -755;
69e : -789;
69f : -740;
6a0 : -1071;
6a1 : -984;
6a2 : -715;
6a3 : -807;
6a4 : -729;
6a5 : -709;
6a6 : -580;
6a7 : -589;
6a8 : -287;
6a9 : -188;
6aa : -236;
6ab : -211;
6ac : -137;
6ad : -64;
6ae : -49;
6af : -48;
6b0 : -142;
6b1 : 5;
6b2 : 3;
6b3 : -57;
6b4 : -67;
6b5 : 53;
6b6 : -296;
6b7 : -273;
6b8 : -145;
6b9 : -120;
6ba : -40;
6bb : 5;
6bc : -5;
6bd : 5;
6be : -189;
6bf : -294;
6c0 : -93;
6c1 : 15;
6c2 : -6;
6c3 : -1;
6c4 : 4;
6c5 : -12;
6c6 : 20;
6c7 : -190;
6c8 : -206;
6c9 : -318;
6ca : -163;
6cb : 23;
6cc : -351;
6cd : -29;
6ce : 4;
6cf : -3;
6d0 : -7;
6d1 : -147;
6d2 : -40;
6d3 : 7;
6d4 : -1;
6d5 : -7;
6d6 : -165;
6d7 : -197;
6d8 : -287;
6d9 : -356;
6da : -383;
6db : -569;
6dc : -706;
6dd : -758;
6de : -770;
6df : -777;
6e0 : -748;
6e1 : -841;
6e2 : -807;
6e3 : -795;
6e4 : -851;
6e5 : -751;
6e6 : -709;
6e7 : -666;
6e8 : -738;
6e9 : -548;
6ea : -461;
6eb : -418;
6ec : -340;
6ed : -314;
6ee : 409;
6ef : 1932;
6f0 : 2539;
6f1 : 2397;
6f2 : 2418;
6f3 : 581;
6f4 : -1520;
6f5 : -937;
6f6 : 582;
6f7 : 163;
6f8 : -185;
6f9 : -61;
6fa : -546;
6fb : -956;
6fc : -956;
6fd : -1107;
6fe : -1473;
6ff : -1511;
700 : -1403;
701 : -1417;
702 : -1594;
703 : -2218;
704 : -2115;
705 : -1619;
706 : -1484;
707 : -1426;
708 : -1245;
709 : -1310;
70a : -855;
70b : -376;
70c : -269;
70d : -250;
70e : -209;
70f : -216;
710 : -251;
711 : -278;
712 : -308;
713 : -289;
714 : -225;
715 : -111;
716 : -239;
717 : -257;
718 : -135;
719 : -122;
71a : -232;
71b : -265;
71c : -119;
71d : -194;
71e : -283;
71f : -150;
720 : -151;
721 : -286;
722 : -188;
723 : -125;
724 : -259;
725 : -241;
726 : -102;
727 : -247;
728 : -170;
729 : -140;
72a : -274;
72b : -255;
72c : -327;
72d : -264;
72e : -340;
72f : -446;
730 : -486;
731 : -538;
732 : -440;
733 : -383;
734 : -521;
735 : -489;
736 : -362;
737 : -503;
738 : -428;
739 : -371;
73a : -392;
73b : -381;
73c : -388;
73d : -383;
73e : -387;
73f : -378;
740 : -246;
741 : -291;
742 : -395;
743 : -380;
744 : -388;
745 : -383;
746 : -386;
747 : -385;
748 : -385;
749 : -386;
74a : -383;
74b : -388;
74c : -378;
74d : -416;
74e : -536;
74f : -451;
750 : -365;
751 : -425;
752 : -528;
753 : -560;
754 : -554;
755 : -515;
756 : -493;
757 : -263;
758 : -257;
759 : -301;
75a : -304;
75b : -261;
75c : -249;
75d : -122;
75e : -197;
75f : -288;
760 : -132;
761 : -176;
762 : -241;
763 : -111;
764 : -138;
765 : -125;
766 : -130;
767 : -131;
768 : -124;
769 : -142;
76a : -47;
76b : 11;
76c : -7;
76d : 3;
76e : -3;
76f : 1;
770 : -2;
771 : 0;
772 : -1;
773 : -1;
774 : -1;
775 : -1;
776 : -1;
777 : -1;
778 : -1;
779 : -1;
77a : -1;
77b : -1;
77c : -1;
77d : -1;
77e : -1;
77f : -1;
780 : -1;
781 : -1;
782 : -1;
783 : -1;
784 : -1;
785 : -1;
786 : -1;
787 : -1;
788 : -1;
789 : -1;
78a : -1;
78b : -1;
78c : -1;
78d : -1;
78e : -1;
78f : -1;
790 : -1;
791 : -1;
792 : -1;
793 : -1;
794 : -1;
795 : -1;
796 : -1;
797 : -1;
798 : -1;
799 : -1;
79a : -1;
79b : -1;
79c : -1;
79d : -1;
79e : -1;
79f : -1;
7a0 : -1;
7a1 : -1;
7a2 : -1;
7a3 : -1;
7a4 : -1;
7a5 : -1;
7a6 : -1;
7a7 : -1;
7a8 : -1;
7a9 : -1;
7aa : -1;
7ab : -1;
7ac : -1;
7ad : -1;
7ae : -1;
7af : -1;
7b0 : -1;
7b1 : -1;
7b2 : -1;
7b3 : -1;
7b4 : -1;
7b5 : -1;
7b6 : -1;
7b7 : -1;
7b8 : -1;
7b9 : -1;
7ba : -1;
7bb : -1;
7bc : -1;
7bd : -1;
7be : -1;
7bf : -1;
7c0 : -1;
7c1 : -1;
7c2 : -1;
7c3 : -1;
7c4 : -1;
7c5 : -1;
7c6 : -1;
7c7 : -1;
7c8 : -1;
7c9 : -1;
7ca : -1;
7cb : -1;
7cc : -1;
7cd : -1;
7ce : -1;
7cf : -1;
7d0 : -1;
7d1 : -1;
7d2 : -1;
7d3 : -1;
7d4 : -1;
7d5 : -1;
7d6 : -1;
7d7 : -1;
7d8 : -1;
7d9 : -1;
7da : -1;
7db : -1;
7dc : -1;
7dd : -1;
7de : -1;
7df : -1;
7e0 : -1;
7e1 : -1;
7e2 : -1;
7e3 : -1;
7e4 : -1;
7e5 : -1;
7e6 : -1;
7e7 : -1;
7e8 : -1;
7e9 : -1;
7ea : -1;
7eb : -1;
7ec : -1;
7ed : -1;
7ee : -1;
7ef : -1;
7f0 : -1;
7f1 : -1;
7f2 : -1;
7f3 : -1;
7f4 : -1;
7f5 : -1;
7f6 : -1;
7f7 : -1;
7f8 : -1;
7f9 : -1;
7fa : -1;
7fb : -1;
7fc : -1;
7fd : -1;
7fe : -1;
7ff : -1;
800 : -1;
801 : -1;
802 : -1;
803 : -1;
804 : -1;
805 : -1;
806 : -1;
807 : -1;
808 : -1;
809 : -1;
80a : -1;
80b : -1;
80c : -1;
80d : -1;
80e : -1;
80f : -1;
810 : -1;
811 : -1;
812 : -1;
813 : -1;
814 : -1;
815 : -1;
816 : -1;
817 : -1;
818 : -1;
819 : -1;
81a : -1;
81b : -1;
81c : -1;
81d : -1;
81e : -1;
81f : -1;
820 : -1;
821 : -1;
822 : -1;
823 : -1;
824 : -1;
825 : -1;
826 : -1;
827 : -1;
828 : -1;
829 : -1;
82a : -1;
82b : -1;
82c : -1;
82d : -1;
82e : -1;
82f : -1;
830 : -1;
831 : -1;
832 : -1;
833 : -1;
834 : -1;
835 : 0;
836 : -2;
837 : 1;
838 : -4;
839 : 3;
83a : -8;
83b : 13;
83c : -74;
83d : -142;
83e : -122;
83f : -133;
840 : -126;
841 : -131;
842 : -128;
843 : -130;
844 : -129;
845 : -129;
846 : -129;
847 : -129;
848 : -129;
849 : -129;
84a : -129;
84b : -129;
84c : -129;
84d : -129;
84e : -129;
84f : -129;
850 : -128;
851 : -237;
852 : -263;
853 : -103;
854 : -229;
855 : -195;
856 : -123;
857 : -266;
858 : -257;
859 : -313;
85a : -291;
85b : -257;
85c : -298;
85d : -307;
85e : -258;
85f : -283;
860 : -315;
861 : -268;
862 : -269;
863 : -315;
864 : -281;
865 : -259;
866 : -307;
867 : -297;
868 : -255;
869 : -296;
86a : -307;
86b : -263;
86c : -272;
86d : -355;
86e : -585;
86f : -358;
870 : -268;
871 : -270;
872 : -191;
873 : -201;
874 : -317;
875 : -523;
876 : -654;
877 : -312;
878 : -340;
879 : -563;
87a : -134;
87b : -319;
87c : -495;
87d : -478;
87e : -507;
87f : -331;
880 : -748;
881 : -841;
882 : -471;
883 : -545;
884 : -562;
885 : -707;
886 : -421;
887 : -811;
888 : -705;
889 : -506;
88a : -788;
88b : -795;
88c : -949;
88d : -439;
88e : -541;
88f : -534;
890 : -435;
891 : -762;
892 : -860;
893 : -722;
894 : -566;
895 : -608;
896 : -889;
897 : -766;
898 : -806;
899 : -801;
89a : -683;
89b : -517;
89c : -663;
89d : -762;
89e : -591;
89f : -413;
8a0 : -902;
8a1 : -836;
8a2 : -617;
8a3 : -653;
8a4 : -516;
8a5 : -755;
8a6 : -517;
8a7 : -693;
8a8 : -775;
8a9 : -636;
8aa : -314;
8ab : -390;
8ac : -573;
8ad : -832;
8ae : -693;
8af : -460;
8b0 : -594;
8b1 : -803;
8b2 : -498;
8b3 : -490;
8b4 : -558;
8b5 : -611;
8b6 : -384;
8b7 : -515;
8b8 : -772;
8b9 : -653;
8ba : -1134;
8bb : -584;
8bc : -316;
8bd : -633;
8be : -762;
8bf : -770;
8c0 : -474;
8c1 : -738;
8c2 : -811;
8c3 : -396;
8c4 : -222;
8c5 : -1045;
8c6 : -801;
8c7 : -191;
8c8 : -584;
8c9 : -1685;
8ca : -1011;
8cb : -240;
8cc : -223;
8cd : -493;
8ce : -228;
8cf : -155;
8d0 : -1248;
8d1 : -752;
8d2 : -66;
8d3 : -92;
8d4 : -952;
8d5 : -1189;
8d6 : -472;
8d7 : -1528;
8d8 : -1344;
8d9 : -920;
8da : -495;
8db : -585;
8dc : -671;
8dd : -1157;
8de : -1174;
8df : -1618;
8e0 : -945;
8e1 : -99;
8e2 : -1186;
8e3 : -1127;
8e4 : -416;
8e5 : -321;
8e6 : -1146;
8e7 : -1039;
8e8 : -530;
8e9 : -1080;
8ea : -1208;
8eb : -918;
8ec : -295;
8ed : -661;
8ee : -1541;
8ef : -1031;
8f0 : -1242;
8f1 : -1988;
8f2 : -1785;
8f3 : -1668;
8f4 : -1476;
8f5 : -348;
8f6 : -1333;
8f7 : -1444;
8f8 : -984;
8f9 : -511;
8fa : -391;
8fb : -1521;
8fc : -1454;
8fd : -1810;
8fe : -1247;
8ff : -1452;
900 : -700;
901 : -14;
902 : -1895;
903 : -867;
904 : -1336;
905 : -2217;
906 : -2098;
907 : -936;
908 : -249;
909 : -2892;
90a : -1474;
90b : -1073;
90c : -842;
90d : -464;
90e : -423;
90f : -472;
910 : -2198;
911 : -195;
912 : -1569;
913 : -1361;
914 : -291;
915 : -1910;
916 : -139;
917 : -2319;
918 : -956;
919 : -401;
91a : -1034;
91b : -184;
91c : -1526;
91d : 951;
91e : -110;
91f : -332;
920 : 1511;
921 : -1080;
922 : -1435;
923 : -2740;
924 : -1696;
925 : -1081;
926 : -1020;
927 : -62;
928 : -100;
929 : -550;
92a : 185;
92b : 1014;
92c : -482;
92d : 450;
92e : -204;
92f : -227;
930 : 37;
931 : 389;
932 : -1388;
933 : -108;
934 : 176;
935 : -743;
936 : -112;
937 : -305;
938 : 1637;
939 : 151;
93a : -164;
93b : 135;
93c : -2277;
93d : -1740;
93e : 468;
93f : 224;
940 : -1759;
941 : -772;
942 : -2205;
943 : -385;
944 : -1239;
945 : -2576;
946 : 2151;
947 : 1703;
948 : -1054;
949 : -1500;
94a : -2474;
94b : -3851;
94c : -3479;
94d : -2167;
94e : -976;
94f : 2669;
950 : 1970;
951 : 1977;
952 : 632;
953 : -1584;
954 : -356;
955 : -2807;
956 : -647;
957 : -670;
958 : 943;
959 : 563;
95a : 3;
95b : 576;
95c : 776;
95d : 1946;
95e : -581;
95f : -597;
960 : 3758;
961 : 37;
962 : -1057;
963 : 3058;
964 : 44;
965 : 665;
966 : -1999;
967 : -775;
968 : 3662;
969 : -1324;
96a : -2005;
96b : -2610;
96c : 1409;
96d : 526;
96e : -3147;
96f : 464;
970 : -1763;
971 : -1984;
972 : -2158;
973 : 1804;
974 : 426;
975 : -3425;
976 : -3137;
977 : -1214;
978 : 1114;
979 : -878;
97a : -2592;
97b : 3780;
97c : 200;
97d : -3953;
97e : -2434;
97f : 411;
980 : -3356;
981 : -1430;
982 : 3209;
983 : 4229;
984 : 1414;
985 : -829;
986 : -16;
987 : -816;
988 : -3530;
989 : -1816;
98a : -1956;
98b : -2510;
98c : -3713;
98d : -4331;
98e : 1798;
98f : -122;
990 : -1017;
991 : 3620;
992 : 4916;
993 : 4015;
994 : 2672;
995 : 1784;
996 : -738;
997 : 2306;
998 : 5771;
999 : -745;
99a : -2586;
99b : -5421;
99c : -5203;
99d : -243;
99e : 3951;
99f : 5650;
9a0 : 1832;
9a1 : 4307;
9a2 : 4051;
9a3 : -1301;
9a4 : 4487;
9a5 : 4143;
9a6 : -3980;
9a7 : -2449;
9a8 : -2861;
9a9 : -192;
9aa : -800;
9ab : 1083;
9ac : 4953;
9ad : 22;
9ae : -3091;
9af : -2160;
9b0 : -785;
9b1 : 1876;
9b2 : -6608;
9b3 : -447;
9b4 : 6049;
9b5 : -4752;
9b6 : -354;
9b7 : 7093;
9b8 : 7991;
9b9 : -921;
9ba : -7977;
9bb : -7281;
9bc : -7613;
9bd : -1686;
9be : -1311;
9bf : -1058;
9c0 : 5424;
9c1 : -611;
9c2 : 980;
9c3 : 6037;
9c4 : 4965;
9c5 : 2735;
9c6 : -7968;
9c7 : -11183;
9c8 : -7455;
9c9 : 4981;
9ca : 8345;
9cb : 4200;
9cc : 4564;
9cd : -2181;
9ce : -2108;
9cf : -85;
9d0 : -655;
9d1 : -1989;
9d2 : -2795;
9d3 : -1057;
9d4 : -9917;
9d5 : -7184;
9d6 : -3427;
9d7 : 165;
9d8 : -1348;
9d9 : -402;
9da : 4827;
9db : -394;
9dc : -2499;
9dd : 1296;
9de : -1570;
9df : 5150;
9e0 : 4692;
9e1 : 3569;
9e2 : 1418;
9e3 : -6288;
9e4 : -8202;
9e5 : -6859;
9e6 : -4675;
9e7 : -2999;
9e8 : -3137;
9e9 : 2580;
9ea : 619;
9eb : -2631;
9ec : 3073;
9ed : 5301;
9ee : 5569;
9ef : -3500;
9f0 : -3435;
9f1 : -5016;
9f2 : -2362;
9f3 : -2665;
9f4 : 4172;
9f5 : 5936;
9f6 : 2698;
9f7 : -2274;
9f8 : -596;
9f9 : 4255;
9fa : 3678;
9fb : 2595;
9fc : 2474;
9fd : 2225;
9fe : -1418;
9ff : -7668;
a00 : -8707;
a01 : -7391;
a02 : 10945;
a03 : 6314;
a04 : 15812;
a05 : 5206;
a06 : -13890;
a07 : -14845;
a08 : -11814;
a09 : 8536;
a0a : 12164;
a0b : 5923;
a0c : -8111;
a0d : -15063;
a0e : -15741;
a0f : -10748;
a10 : -7500;
a11 : 12496;
a12 : 4932;
a13 : 5138;
a14 : -3692;
a15 : 3492;
a16 : 11785;
a17 : 662;
a18 : -11209;
a19 : -16135;
a1a : -7846;
a1b : -4637;
a1c : -2697;
a1d : 16451;
a1e : 13083;
a1f : 6277;
a20 : 10120;
a21 : -6889;
a22 : 6073;
a23 : 3983;
a24 : -4759;
a25 : -10181;
a26 : 2414;
a27 : -2777;
a28 : -2821;
a29 : 3447;
a2a : 15705;
a2b : 12744;
a2c : 13962;
a2d : 14105;
a2e : 12627;
a2f : 15757;
a30 : -280;
a31 : -2339;
a32 : -12560;
a33 : -11132;
a34 : -8633;
a35 : -15497;
a36 : -2308;
a37 : 11028;
a38 : 9290;
a39 : 15562;
a3a : 11761;
a3b : 15086;
a3c : -620;
a3d : 5375;
a3e : 3887;
a3f : -15021;
a40 : -12598;
a41 : -13340;
a42 : -4624;
a43 : 9997;
a44 : 11121;
a45 : 14261;
a46 : 2823;
a47 : -14285;
a48 : -6769;
a49 : 2729;
a4a : 9333;
a4b : 7375;
a4c : -7062;
a4d : -14240;
a4e : -5106;
a4f : -12018;
a50 : -1586;
a51 : 4159;
a52 : 528;
a53 : -10216;
a54 : -16858;
a55 : -11764;
a56 : -14629;
a57 : -6754;
a58 : -9732;
a59 : -15497;
a5a : -13241;
a5b : -6978;
a5c : -4195;
a5d : 9909;
a5e : -7194;
a5f : -14735;
a60 : 6582;
a61 : -7501;
a62 : -15555;
a63 : -15765;
a64 : -4989;
a65 : 3789;
a66 : -18643;
a67 : -3472;
a68 : 14402;
a69 : 13286;
a6a : 13675;
a6b : 13795;
a6c : 13127;
a6d : 14293;
a6e : 10807;
a6f : 16104;
a70 : 3934;
a71 : -1230;
a72 : 16366;
a73 : 12125;
a74 : 14535;
a75 : 13056;
a76 : 13819;
a77 : 13543;
a78 : 13356;
a79 : 14184;
a7a : 4917;
a7b : -11690;
a7c : -5238;
a7d : -12283;
a7e : -14918;
a7f : -14852;
a80 : -7404;
a81 : 11863;
a82 : 2594;
a83 : 6256;
a84 : 15544;
a85 : 1109;
a86 : -9632;
a87 : -11328;
a88 : -16451;
a89 : 291;
a8a : 14551;
a8b : 3665;
a8c : 2469;
a8d : -647;
a8e : -4233;
a8f : -13813;
a90 : -14881;
a91 : -14120;
a92 : -14061;
a93 : -15307;
a94 : -7392;
a95 : -11690;
a96 : -15900;
a97 : -10559;
a98 : 91;
a99 : -5243;
a9a : -15710;
a9b : -13282;
a9c : -2619;
a9d : -6150;
a9e : -14239;
a9f : -13031;
aa0 : -14174;
aa1 : 4336;
aa2 : 2512;
aa3 : -16471;
aa4 : 8340;
aa5 : 14640;
aa6 : 10360;
aa7 : 13311;
aa8 : 12826;
aa9 : 15212;
aaa : -1565;
aab : -8446;
aac : 5538;
aad : -12937;
aae : -14420;
aaf : -14329;
ab0 : -14628;
ab1 : -14669;
ab2 : -14619;
ab3 : -14739;
ab4 : -14378;
ab5 : -14992;
ab6 : -13870;
ab7 : -15618;
ab8 : -7776;
ab9 : 13371;
aba : 13464;
abb : 13531;
abc : 14282;
abd : 12931;
abe : 14509;
abf : -8409;
ac0 : 6724;
ac1 : 10107;
ac2 : -15604;
ac3 : -13944;
ac4 : -12818;
ac5 : -16281;
ac6 : -2056;
ac7 : 9884;
ac8 : 1100;
ac9 : 15179;
aca : 6138;
acb : -15077;
acc : -12746;
acd : -15687;
ace : -10774;
acf : -11685;
ad0 : -14783;
ad1 : -15506;
ad2 : -946;
ad3 : 1532;
ad4 : -2675;
ad5 : 3239;
ad6 : 6921;
ad7 : 11012;
ad8 : -10524;
ad9 : -15046;
ada : -14445;
adb : -14682;
adc : -14563;
add : -14739;
ade : -14580;
adf : -14160;
ae0 : -5529;
ae1 : -12740;
ae2 : -9859;
ae3 : 171;
ae4 : 4068;
ae5 : 4052;
ae6 : -4740;
ae7 : -7608;
ae8 : -691;
ae9 : -5442;
aea : -14203;
aeb : -13062;
aec : -14870;
aed : -14127;
aee : -12561;
aef : -10250;
af0 : 2199;
af1 : 6178;
af2 : 3832;
af3 : 1563;
af4 : -2204;
af5 : -5039;
af6 : -5348;
af7 : -14088;
af8 : -12180;
af9 : -460;
afa : -4925;
afb : -8749;
afc : -7165;
afd : -7054;
afe : -2618;
aff : -6224;
b00 : -14890;
b01 : -8636;
b02 : -2394;
b03 : -13379;
b04 : -14631;
b05 : -14803;
b06 : -13243;
b07 : -11879;
b08 : -15344;
b09 : -10769;
b0a : -7555;
b0b : -11952;
b0c : -9570;
b0d : -8280;
b0e : -10069;
b0f : -7866;
b10 : -8351;
b11 : -10121;
b12 : -5483;
b13 : -6456;
b14 : -3899;
b15 : 2565;
b16 : -1313;
b17 : -385;
b18 : 5434;
b19 : -6745;
b1a : -9435;
b1b : 2242;
b1c : 1348;
b1d : 2311;
b1e : 3168;
b1f : 8317;
b20 : 9258;
b21 : -335;
b22 : 5308;
b23 : 11185;
b24 : 2769;
b25 : 3838;
b26 : 3984;
b27 : -1764;
b28 : 853;
b29 : -1183;
b2a : -5380;
b2b : 864;
b2c : 3125;
b2d : 5587;
b2e : 1902;
b2f : -2312;
b30 : 1786;
b31 : 3702;
b32 : -5510;
b33 : -9978;
b34 : -5292;
b35 : -8936;
b36 : -12088;
b37 : -4885;
b38 : -8243;
b39 : -10850;
b3a : -8732;
b3b : -2580;
b3c : 484;
b3d : -5219;
b3e : -5000;
b3f : 2725;
b40 : 6055;
b41 : -7202;
b42 : -12770;
b43 : -6446;
b44 : -2523;
b45 : -2937;
b46 : -11877;
b47 : -7174;
b48 : -935;
b49 : -2081;
b4a : -3189;
b4b : -895;
b4c : 5611;
b4d : 4751;
b4e : 4435;
b4f : 6823;
b50 : 74;
b51 : -972;
b52 : 305;
b53 : 6354;
b54 : 4133;
b55 : -2456;
b56 : -1620;
b57 : -2176;
b58 : -6184;
b59 : -8525;
b5a : -5343;
b5b : 1303;
b5c : -1091;
b5d : -524;
b5e : -2079;
b5f : -555;
b60 : -5360;
b61 : -5568;
b62 : 4396;
b63 : 233;
b64 : -2245;
b65 : 5614;
b66 : -5760;
b67 : -11362;
b68 : -7505;
b69 : -7195;
b6a : -5881;
b6b : -2814;
b6c : -362;
b6d : 1849;
b6e : -4159;
b6f : -8059;
b70 : -3541;
b71 : -1007;
b72 : -11350;
b73 : -7531;
b74 : -259;
b75 : -5257;
b76 : -6622;
b77 : -3755;
b78 : -5636;
b79 : -5000;
b7a : -5780;
b7b : -7423;
b7c : -6524;
b7d : -4371;
b7e : -1370;
b7f : 1644;
b80 : -1215;
b81 : -2101;
b82 : -2802;
b83 : -2595;
b84 : -4533;
b85 : -3232;
b86 : -3418;
b87 : -1242;
b88 : -4045;
b89 : -7405;
b8a : -7310;
b8b : -6207;
b8c : -4100;
b8d : -1330;
b8e : -2424;
b8f : -2771;
b90 : -7304;
b91 : -7778;
b92 : -8531;
b93 : -10553;
b94 : -4278;
b95 : -1217;
b96 : -4256;
b97 : -120;
b98 : 2155;
b99 : -3187;
b9a : 465;
b9b : 3259;
b9c : 4587;
b9d : 8205;
b9e : 6169;
b9f : 2253;
ba0 : 5118;
ba1 : 1631;
ba2 : -368;
ba3 : 1200;
ba4 : -35;
ba5 : 1781;
ba6 : 3711;
ba7 : 3195;
ba8 : 4990;
ba9 : 4663;
baa : 6480;
bab : 8863;
bac : 6067;
bad : 6379;
bae : 7212;
baf : 4204;
bb0 : 3369;
bb1 : 4975;
bb2 : 3886;
bb3 : 2518;
bb4 : 2292;
bb5 : 167;
bb6 : 3487;
bb7 : 5224;
bb8 : 2558;
bb9 : 7147;
bba : 9497;
bbb : 5711;
bbc : 8506;
bbd : 8321;
bbe : 2217;
bbf : 8849;
bc0 : 9910;
bc1 : 847;
bc2 : 2392;
bc3 : 2030;
bc4 : -464;
bc5 : -164;
bc6 : 2476;
bc7 : 5857;
bc8 : 8214;
bc9 : 5913;
bca : 1206;
bcb : 3342;
bcc : 1116;
bcd : -2101;
bce : 1141;
bcf : 6148;
bd0 : 8681;
bd1 : 7537;
bd2 : 3063;
bd3 : 2816;
bd4 : 4022;
bd5 : 2186;
bd6 : -2282;
bd7 : 3744;
bd8 : 5038;
bd9 : 2703;
bda : 2066;
bdb : 2429;
bdc : 1666;
bdd : 280;
bde : 490;
bdf : 2836;
be0 : 2667;
be1 : 3627;
be2 : 4118;
be3 : 2886;
be4 : 853;
be5 : 261;
be6 : 4388;
be7 : 1197;
be8 : -651;
be9 : 336;
bea : 1964;
beb : 2202;
bec : -4633;
bed : -4891;
bee : 199;
bef : 2074;
bf0 : -2578;
bf1 : -3445;
bf2 : 530;
bf3 : -905;
bf4 : -4172;
bf5 : -936;
bf6 : 3400;
bf7 : 2551;
bf8 : -2428;
bf9 : -1216;
bfa : 2838;
bfb : -767;
bfc : -2691;
bfd : -319;
bfe : 2864;
bff : -368;
c00 : -3752;
c01 : -5283;
c02 : -3566;
c03 : -6026;
c04 : -4604;
c05 : 10;
c06 : -1361;
c07 : -5426;
c08 : 658;
c09 : -1279;
c0a : -5544;
c0b : -4878;
c0c : -3096;
c0d : -162;
c0e : -2366;
c0f : -6874;
c10 : -6137;
c11 : -3888;
c12 : -5995;
c13 : -7255;
c14 : -5442;
c15 : 288;
c16 : 736;
c17 : -1523;
c18 : -3682;
c19 : -124;
c1a : 245;
c1b : -2896;
c1c : -325;
c1d : 3372;
c1e : -963;
c1f : -3334;
c20 : 355;
c21 : -5466;
c22 : -7214;
c23 : -4767;
c24 : -6351;
c25 : -4001;
c26 : -2764;
c27 : -6022;
c28 : -6556;
c29 : -7470;
c2a : -8235;
c2b : -7964;
c2c : -8899;
c2d : -5751;
c2e : -3631;
c2f : -3970;
c30 : -19;
c31 : -3301;
c32 : -6812;
c33 : -7210;
c34 : -7199;
c35 : -7254;
c36 : -8374;
c37 : -6729;
c38 : -960;
c39 : -1074;
c3a : -2862;
c3b : -5349;
c3c : -5570;
c3d : -1429;
c3e : -2998;
c3f : -3820;
c40 : 928;
c41 : -3046;
c42 : -2746;
c43 : -2481;
c44 : -6894;
c45 : -8681;
c46 : -7118;
c47 : -4685;
c48 : -4080;
c49 : -2045;
c4a : -2685;
c4b : -7637;
c4c : -7226;
c4d : -6017;
c4e : -6123;
c4f : -2254;
c50 : -5403;
c51 : -4697;
c52 : -2345;
c53 : -4895;
c54 : -4373;
c55 : -5889;
c56 : -6634;
c57 : -4171;
c58 : -3628;
c59 : -7908;
c5a : -7809;
c5b : -2619;
c5c : -2579;
c5d : -5408;
c5e : -9328;
c5f : -6819;
c60 : -5088;
c61 : -5097;
c62 : -9404;
c63 : -6417;
c64 : -2036;
c65 : -3062;
c66 : 187;
c67 : -4291;
c68 : -5629;
c69 : -6514;
c6a : -3910;
c6b : -990;
c6c : -421;
c6d : -2306;
c6e : -4686;
c6f : -2811;
c70 : -2294;
c71 : -2661;
c72 : -3971;
c73 : -3019;
c74 : -4681;
c75 : -5148;
c76 : -5570;
c77 : -3976;
c78 : -4894;
c79 : -5780;
c7a : -4736;
c7b : -3095;
c7c : -4076;
c7d : -1760;
c7e : -2874;
c7f : -4137;
c80 : -3417;
c81 : -838;
c82 : 1371;
c83 : 1556;
c84 : 579;
c85 : -1292;
c86 : 2588;
c87 : 663;
c88 : -3871;
c89 : -3844;
c8a : -905;
c8b : -1751;
c8c : -2226;
c8d : -1076;
c8e : 68;
c8f : -1296;
c90 : -1658;
c91 : -987;
c92 : -543;
c93 : -203;
c94 : -4242;
c95 : -2779;
c96 : -300;
c97 : 314;
c98 : -3239;
c99 : -3331;
c9a : -2816;
c9b : -3012;
c9c : -42;
c9d : 1485;
c9e : -4122;
c9f : 362;
ca0 : 339;
ca1 : -1018;
ca2 : 84;
ca3 : -3953;
ca4 : -1799;
ca5 : 500;
ca6 : 1524;
ca7 : -779;
ca8 : -1618;
ca9 : -951;
caa : -2262;
cab : 787;
cac : 1079;
cad : 609;
cae : -1268;
caf : -3247;
cb0 : -829;
cb1 : 2114;
cb2 : -2813;
cb3 : -1397;
cb4 : 5241;
cb5 : 1242;
cb6 : 2240;
cb7 : -709;
cb8 : -173;
cb9 : 491;
cba : -484;
cbb : 3172;
cbc : -505;
cbd : -40;
cbe : -264;
cbf : -6305;
cc0 : -2088;
cc1 : 1163;
cc2 : -4530;
cc3 : -2711;
cc4 : -3894;
cc5 : 2855;
cc6 : 2322;
cc7 : 77;
cc8 : 2907;
cc9 : -9950;
cca : 3207;
ccb : -2300;
ccc : -843;
ccd : -1318;
cce : -3875;
ccf : -2489;
cd0 : -6627;
cd1 : 2375;
cd2 : -7066;
cd3 : -7431;
cd4 : -930;
cd5 : -5296;
cd6 : 7482;
cd7 : 608;
cd8 : -3412;
cd9 : 568;
cda : -171;
cdb : -1864;
cdc : -2631;
cdd : -7141;
cde : -7002;
cdf : -434;
ce0 : -4813;
ce1 : -1144;
ce2 : -1309;
ce3 : -3615;
ce4 : 51;
ce5 : -751;
ce6 : -3996;
ce7 : -1056;
ce8 : 382;
ce9 : -2098;
cea : 1969;
ceb : -1723;
cec : -5240;
ced : 242;
cee : -8304;
cef : -996;
cf0 : -5662;
cf1 : -5152;
cf2 : 1987;
cf3 : -2003;
cf4 : -2569;
cf5 : -1695;
cf6 : -4299;
cf7 : -2219;
cf8 : 365;
cf9 : -2395;
cfa : -2731;
cfb : -3418;
cfc : -1723;
cfd : 3307;
cfe : -2625;
cff : -3621;
d00 : -3972;
d01 : -4830;
d02 : -3309;
d03 : -2746;
d04 : -6480;
d05 : -4972;
d06 : -1718;
d07 : -264;
d08 : -2220;
d09 : -1806;
d0a : -2950;
d0b : -2916;
d0c : -1265;
d0d : 1771;
d0e : 1809;
d0f : -217;
d10 : -3129;
d11 : -2654;
d12 : 132;
d13 : -3747;
d14 : -6801;
d15 : -2577;
d16 : -4437;
d17 : 827;
d18 : 2535;
d19 : -3583;
d1a : 1058;
d1b : -2242;
d1c : -2097;
d1d : -453;
d1e : 85;
d1f : 1209;
d20 : -8;
d21 : 422;
d22 : -1016;
d23 : -2995;
d24 : 250;
d25 : -3965;
d26 : -2590;
d27 : -2966;
d28 : -3323;
d29 : -605;
d2a : -348;
d2b : -176;
d2c : -745;
d2d : -196;
d2e : 243;
d2f : -781;
d30 : -2535;
d31 : -4975;
d32 : -5257;
d33 : -2537;
d34 : -2735;
d35 : -2617;
d36 : -2312;
d37 : -1367;
d38 : -2304;
d39 : -3201;
d3a : -1259;
d3b : -5286;
d3c : -1521;
d3d : -1079;
d3e : -5518;
d3f : 1578;
d40 : -4474;
d41 : -1720;
d42 : -1961;
d43 : -4645;
d44 : -2662;
d45 : -4860;
d46 : -744;
d47 : -495;
d48 : -1202;
d49 : -2484;
d4a : -1160;
d4b : -1680;
d4c : -3611;
d4d : 777;
d4e : -3135;
d4f : -5088;
d50 : 719;
d51 : -2911;
d52 : -1272;
d53 : -1388;
d54 : -1873;
d55 : -3568;
d56 : 1399;
d57 : -1300;
d58 : -195;
d59 : -2865;
d5a : -1838;
d5b : 791;
d5c : -2575;
d5d : 102;
d5e : 1205;
d5f : -1223;
d60 : -2216;
d61 : -643;
d62 : -3182;
d63 : -712;
d64 : -952;
d65 : -2817;
d66 : -4454;
d67 : -65;
d68 : -889;
d69 : -2602;
d6a : -1213;
d6b : -2761;
d6c : -1532;
d6d : -532;
d6e : -1615;
d6f : -185;
d70 : -1945;
d71 : -2689;
d72 : -1027;
d73 : -3957;
d74 : -1363;
d75 : -1462;
d76 : -3551;
d77 : -194;
d78 : -2897;
d79 : 876;
d7a : -1977;
d7b : -2241;
d7c : -2103;
d7d : -1149;
d7e : -2150;
d7f : -2134;
d80 : 2247;
d81 : -2226;
d82 : 728;
d83 : 583;
d84 : -1492;
d85 : -2444;
d86 : -712;
d87 : -1869;
d88 : -2143;
d89 : -719;
d8a : 1203;
d8b : -1378;
d8c : -1490;
d8d : 942;
d8e : -3310;
d8f : -2921;
d90 : -1866;
d91 : -1863;
d92 : -1619;
d93 : -531;
d94 : -1065;
d95 : -870;
d96 : -1686;
d97 : -672;
d98 : -3406;
d99 : -2306;
d9a : -2766;
d9b : -998;
d9c : -1740;
d9d : -2237;
d9e : -991;
d9f : -3241;
da0 : -2238;
da1 : -1941;
da2 : -3135;
da3 : -2719;
da4 : -2724;
da5 : -2497;
da6 : -1212;
da7 : -1872;
da8 : -1526;
da9 : -2998;
daa : -1501;
dab : -2373;
dac : -1863;
dad : -2875;
dae : -1640;
daf : -2199;
db0 : -2326;
db1 : -1679;
db2 : -2078;
db3 : -290;
db4 : -1001;
db5 : -2097;
db6 : -1548;
db7 : -295;
db8 : -1188;
db9 : -1534;
dba : -2624;
dbb : -59;
dbc : -1459;
dbd : -1784;
dbe : -1563;
dbf : -1338;
dc0 : -1483;
dc1 : -1483;
dc2 : -538;
dc3 : -1272;
dc4 : -997;
dc5 : -322;
dc6 : -1427;
dc7 : -1127;
dc8 : -673;
dc9 : -1324;
dca : -875;
dcb : -1557;
dcc : -461;
dcd : -1103;
dce : -1157;
dcf : -1163;
dd0 : -545;
dd1 : -1379;
dd2 : -1348;
dd3 : 282;
dd4 : -595;
dd5 : -867;
dd6 : -316;
dd7 : -1465;
dd8 : -1178;
dd9 : -599;
dda : -692;
ddb : -651;
ddc : -167;
ddd : -189;
dde : -218;
ddf : -460;
de0 : -532;
de1 : -685;
de2 : -952;
de3 : -419;
de4 : -559;
de5 : -27;
de6 : -769;
de7 : -279;
de8 : -543;
de9 : -324;
dea : -599;
deb : -528;
dec : -603;
ded : -410;
dee : -142;
def : -490;
df0 : -702;
df1 : -284;
df2 : -737;
df3 : -529;
df4 : -225;
df5 : -130;
df6 : -548;
df7 : -595;
df8 : -541;
df9 : -458;
dfa : -486;
dfb : -335;
dfc : -576;
dfd : -510;
dfe : -324;
dff : -384;
e00 : -525;
e01 : -692;
e02 : -621;
e03 : -461;
e04 : -638;
e05 : -471;
e06 : -484;
e07 : -298;
e08 : -362;
e09 : -444;
e0a : -508;
e0b : -341;
e0c : -476;
e0d : -333;
e0e : -573;
e0f : -387;
e10 : -360;
e11 : -330;
e12 : -368;
e13 : -436;
e14 : -258;
e15 : -487;
e16 : -654;
e17 : -315;
e18 : -350;
e19 : -387;
e1a : -362;
e1b : -349;
e1c : -401;
e1d : -332;
e1e : -382;
e1f : -337;
e20 : -340;
e21 : -391;
e22 : -364;
e23 : -250;
e24 : -190;
e25 : -320;
e26 : -318;
e27 : -412;
e28 : -331;
e29 : -246;
e2a : -160;
e2b : -312;
e2c : -360;
e2d : -363;
e2e : -356;
e2f : -273;
e30 : -347;
e31 : -397;
e32 : -382;
e33 : -371;
e34 : -335;
e35 : -218;
e36 : -263;
e37 : -226;
e38 : -340;
e39 : -277;
e3a : -363;
e3b : -366;
e3c : -398;
e3d : -374;
e3e : -396;
e3f : -266;
e40 : -265;
e41 : -431;
e42 : -264;
e43 : -247;
e44 : -302;
e45 : -222;
e46 : -380;
e47 : -588;
e48 : -613;
e49 : -430;
e4a : -551;
e4b : -646;
e4c : -370;
e4d : -237;
e4e : -332;
e4f : -268;
e50 : -284;
e51 : -182;
e52 : -476;
e53 : -660;
e54 : -773;
e55 : -734;
e56 : -610;
e57 : -678;
e58 : -355;
e59 : -315;
e5a : -298;
e5b : -162;
e5c : -235;
e5d : -260;
e5e : -303;
e5f : -183;
e60 : -511;
e61 : -816;
e62 : -370;
e63 : -462;
e64 : -844;
e65 : -545;
e66 : -399;
e67 : -343;
e68 : -217;
e69 : -707;
e6a : -817;
e6b : -408;
e6c : -273;
e6d : -256;
e6e : -270;
e6f : -340;
e70 : -297;
e71 : -213;
e72 : -726;
e73 : -674;
e74 : -621;
e75 : -658;
e76 : -619;
e77 : -367;
e78 : -185;
e79 : -165;
e7a : -177;
e7b : -165;
e7c : -225;
e7d : -337;
e7e : -767;
e7f : -737;
e80 : -594;
e81 : -259;
e82 : -749;
e83 : -423;
e84 : -45;
e85 : -226;
e86 : -287;
e87 : -607;
e88 : -676;
e89 : -826;
e8a : -515;
e8b : -575;
e8c : -276;
e8d : -416;
e8e : -424;
e8f : -150;
e90 : -710;
e91 : -456;
e92 : -676;
e93 : -595;
e94 : -283;
e95 : -355;
e96 : -209;
e97 : -241;
e98 : -574;
e99 : -278;
e9a : -186;
e9b : -674;
e9c : -341;
e9d : -848;
e9e : -296;
e9f : -620;
ea0 : -172;
ea1 : -189;
ea2 : -352;
ea3 : -126;
ea4 : -304;
ea5 : -230;
ea6 : -695;
ea7 : -896;
ea8 : -674;
ea9 : -758;
eaa : -527;
eab : -216;
eac : -135;
ead : -199;
eae : -161;
eaf : -188;
eb0 : -838;
eb1 : -781;
eb2 : -635;
eb3 : -426;
eb4 : -360;
eb5 : 2;
eb6 : -57;
eb7 : -154;
eb8 : -171;
eb9 : -933;
eba : -763;
ebb : -806;
ebc : -577;
ebd : -150;
ebe : -456;
ebf : -61;
ec0 : 188;
ec1 : -143;
ec2 : -226;
ec3 : -228;
ec4 : -677;
ec5 : -973;
ec6 : -682;
ec7 : -419;
ec8 : -606;
ec9 : -300;
eca : -65;
ecb : -73;
ecc : -119;
ecd : -443;
ece : -971;
ecf : -666;
ed0 : -965;
ed1 : -736;
ed2 : -700;
ed3 : -287;
ed4 : -153;
ed5 : -104;
ed6 : -96;
ed7 : -352;
ed8 : -414;
ed9 : -686;
eda : -1099;
edb : -1104;
edc : -732;
edd : -726;
ede : -609;
edf : -256;
ee0 : -62;
ee1 : -3;
ee2 : -387;
ee3 : -67;
ee4 : -441;
ee5 : -845;
ee6 : -882;
ee7 : -840;
ee8 : -398;
ee9 : -708;
eea : -278;
eeb : -379;
eec : -426;
eed : -13;
eee : -143;
eef : -97;
ef0 : -659;
ef1 : -791;
ef2 : -848;
ef3 : -951;
ef4 : -811;
ef5 : -677;
ef6 : -308;
ef7 : -363;
ef8 : -745;
ef9 : -575;
efa : -460;
efb : -888;
efc : -879;
efd : -698;
efe : -69;
eff : -21;
f00 : -175;
f01 : 18;
f02 : -65;
f03 : -333;
f04 : -911;
f05 : -883;
f06 : -863;
f07 : -832;
f08 : -574;
f09 : -335;
f0a : -82;
f0b : 281;
f0c : 233;
f0d : -252;
f0e : -25;
f0f : -327;
f10 : -899;
f11 : -898;
f12 : -795;
f13 : -305;
f14 : -599;
f15 : -750;
f16 : -105;
f17 : -26;
f18 : -251;
f19 : -182;
f1a : -215;
f1b : -230;
f1c : -318;
f1d : -638;
f1e : -1157;
f1f : -163;
f20 : -634;
f21 : -477;
f22 : -391;
f23 : -387;
f24 : -316;
f25 : -657;
f26 : -145;
f27 : -192;
f28 : -727;
f29 : -681;
f2a : -737;
f2b : -162;
f2c : -266;
f2d : -799;
f2e : 45;
f2f : 92;
f30 : -806;
f31 : -480;
f32 : -624;
f33 : -516;
f34 : -604;
f35 : -775;
f36 : -541;
f37 : -221;
f38 : -276;
f39 : -135;
f3a : -124;
f3b : 90;
f3c : -438;
f3d : -1171;
f3e : -697;
f3f : -376;
f40 : -630;
f41 : -936;
f42 : -470;
f43 : 126;
f44 : -318;
f45 : -305;
f46 : -697;
f47 : -991;
f48 : -472;
f49 : -662;
f4a : -1032;
f4b : -651;
f4c : 177;
f4d : -323;
f4e : 54;
f4f : 52;
f50 : 17;
f51 : -333;
f52 : -658;
f53 : 79;
f54 : -205;
f55 : -508;
f56 : -241;
f57 : -365;
f58 : -117;
f59 : -428;
f5a : 49;
f5b : -255;
f5c : -709;
f5d : -582;
f5e : -656;
f5f : -699;
f60 : -629;
f61 : 6;
f62 : -185;
f63 : -293;
f64 : -406;
f65 : -297;
f66 : -287;
f67 : -537;
f68 : -898;
f69 : -442;
f6a : -620;
f6b : -647;
f6c : -751;
f6d : -711;
f6e : -490;
f6f : -94;
f70 : -114;
f71 : -208;
f72 : -265;
f73 : -281;
f74 : -612;
f75 : -743;
f76 : -458;
f77 : -475;
f78 : -759;
f79 : -401;
f7a : -845;
f7b : -500;
f7c : -274;
f7d : -270;
f7e : -205;
f7f : 13;
f80 : -196;
f81 : -123;
f82 : -236;
f83 : -349;
f84 : -595;
f85 : -468;
f86 : -925;
f87 : -920;
f88 : -434;
f89 : -369;
f8a : -392;
f8b : -386;
f8c : -340;
f8d : -340;
f8e : -542;
f8f : -482;
f90 : -288;
f91 : -129;
f92 : -294;
f93 : -357;
f94 : -340;
f95 : -818;
f96 : -780;
f97 : -188;
f98 : -542;
f99 : -886;
f9a : -592;
f9b : -306;
f9c : 36;
f9d : -28;
f9e : -194;
f9f : -217;
fa0 : -6;
fa1 : -633;
fa2 : -745;
fa3 : -578;
fa4 : -461;
fa5 : -716;
fa6 : -121;
fa7 : -287;
fa8 : 3;
fa9 : 622;
faa : -455;
fab : -349;
fac : -234;
fad : -527;
fae : -670;
faf : -259;
fb0 : -360;
fb1 : -740;
fb2 : -328;
fb3 : -551;
fb4 : -887;
fb5 : -779;
fb6 : -573;
fb7 : -675;
fb8 : -270;
fb9 : -143;
fba : -139;
fbb : -316;
fbc : -365;
fbd : -185;
fbe : -282;
fbf : -766;
fc0 : -831;
fc1 : -859;
fc2 : -740;
fc3 : -332;
fc4 : -310;
fc5 : -182;
fc6 : -300;
fc7 : 117;
fc8 : 122;
fc9 : -123;
fca : -624;
fcb : -691;
fcc : -867;
fcd : -779;
fce : -899;
fcf : -869;
fd0 : -766;
fd1 : -315;
fd2 : -663;
fd3 : -141;
fd4 : -40;
fd5 : -226;
fd6 : -212;
fd7 : -169;
fd8 : -604;
fd9 : -763;
fda : -678;
fdb : -769;
fdc : -372;
fdd : -150;
fde : -187;
fdf : -146;
fe0 : -136;
fe1 : 2;
fe2 : 58;
fe3 : -136;
fe4 : -338;
fe5 : -442;
fe6 : -937;
fe7 : -672;
fe8 : -682;
fe9 : -805;
fea : -697;
feb : -143;
fec : -585;
fed : -244;
fee : -63;
fef : -192;
ff0 : 125;
ff1 : -222;
ff2 : -267;
ff3 : -520;
ff4 : -701;
ff5 : -275;
ff6 : -744;
ff7 : -267;
ff8 : -401;
ff9 : -670;
ffa : -701;
ffb : -548;
ffc : -594;
ffd : -666;
ffe : -418;
fff : -644;
1000 : -625;
1001 : -652;
1002 : -68;
1003 : -178;
1004 : -210;
1005 : -211;
1006 : -285;
1007 : -282;
1008 : -585;
1009 : -431;
100a : -805;
100b : -606;
100c : -628;
100d : -613;
100e : -667;
100f : -346;
1010 : -204;
1011 : -236;
1012 : -573;
1013 : -333;
1014 : -289;
1015 : -147;
1016 : -284;
1017 : -330;
1018 : -306;
1019 : -643;
101a : -803;
101b : -695;
101c : -633;
101d : -640;
101e : -400;
101f : -370;
1020 : -205;
1021 : 67;
1022 : 57;
1023 : -29;
1024 : -145;
1025 : -245;
1026 : -181;
1027 : -593;
1028 : -851;
1029 : -811;
102a : -624;
102b : -701;
102c : -423;
102d : -244;
102e : -277;
102f : -410;
1030 : -113;
1031 : -349;
1032 : -560;
1033 : -438;
1034 : -801;
1035 : -455;
1036 : -179;
1037 : -607;
1038 : -444;
1039 : -338;
103a : -130;
103b : -121;
103c : -544;
103d : -556;
103e : -49;
103f : -356;
1040 : -389;
1041 : -414;
1042 : -219;
1043 : -552;
1044 : -827;
1045 : -426;
1046 : -535;
1047 : -551;
1048 : -362;
1049 : -350;
104a : -231;
104b : -104;
104c : -221;
104d : -193;
104e : -62;
104f : -375;
1050 : -628;
1051 : -718;
1052 : -793;
1053 : -754;
1054 : -772;
1055 : -414;
1056 : -383;
1057 : -367;
1058 : -160;
1059 : 31;
105a : -295;
105b : -334;
105c : -573;
105d : -471;
105e : -716;
105f : -783;
1060 : -369;
1061 : -160;
1062 : -611;
1063 : -297;
1064 : -167;
1065 : -430;
1066 : -324;
1067 : -445;
1068 : -610;
1069 : -650;
106a : -437;
106b : -384;
106c : -349;
106d : -357;
106e : 32;
106f : -431;
1070 : -467;
1071 : -152;
1072 : -587;
1073 : -664;
1074 : -648;
1075 : -618;
1076 : -421;
1077 : -277;
1078 : -270;
1079 : -179;
107a : -229;
107b : -179;
107c : -107;
107d : -187;
107e : -437;
107f : -719;
1080 : -421;
1081 : -327;
1082 : -118;
1083 : -187;
1084 : -52;
1085 : -170;
1086 : -692;
1087 : -625;
1088 : -599;
1089 : -708;
108a : -812;
108b : -565;
108c : -213;
108d : -185;
108e : -345;
108f : -234;
1090 : -45;
1091 : -284;
1092 : -674;
1093 : -329;
1094 : -262;
1095 : -234;
1096 : -406;
1097 : -261;
1098 : -203;
1099 : -273;
109a : -708;
109b : -693;
109c : -448;
109d : -800;
109e : -756;
109f : -375;
10a0 : -110;
10a1 : -398;
10a2 : -167;
10a3 : -20;
10a4 : -234;
10a5 : -371;
10a6 : -340;
10a7 : -534;
10a8 : -697;
10a9 : -588;
10aa : -663;
10ab : -240;
10ac : -510;
10ad : -234;
10ae : -141;
10af : -251;
10b0 : -405;
10b1 : -637;
10b2 : -638;
10b3 : -466;
10b4 : -621;
10b5 : -671;
10b6 : -298;
10b7 : -333;
10b8 : -155;
10b9 : -47;
10ba : -600;
10bb : -397;
10bc : -481;
10bd : -820;
10be : -527;
10bf : -259;
10c0 : -260;
10c1 : -445;
10c2 : -225;
10c3 : -121;
10c4 : -248;
10c5 : -531;
10c6 : -473;
10c7 : -415;
10c8 : -612;
10c9 : -640;
10ca : -534;
10cb : -357;
10cc : -178;
10cd : -34;
10ce : -130;
10cf : -265;
10d0 : -505;
10d1 : -514;
10d2 : -509;
10d3 : -774;
10d4 : -575;
10d5 : -373;
10d6 : -61;
10d7 : -209;
10d8 : -270;
10d9 : -191;
10da : -300;
10db : -591;
10dc : -615;
10dd : -338;
10de : -232;
10df : -208;
10e0 : -200;
10e1 : -132;
10e2 : -191;
10e3 : -630;
10e4 : -794;
10e5 : -745;
10e6 : -806;
10e7 : -475;
10e8 : -384;
10e9 : -181;
10ea : -81;
10eb : -173;
10ec : -143;
10ed : -250;
10ee : -389;
10ef : -660;
10f0 : -646;
10f1 : -789;
10f2 : -753;
10f3 : -617;
10f4 : -657;
10f5 : -199;
10f6 : -169;
10f7 : -130;
10f8 : -192;
10f9 : -142;
10fa : -321;
10fb : -400;
10fc : -382;
10fd : -374;
10fe : -526;
10ff : -725;
1100 : -161;
1101 : -478;
1102 : -548;
1103 : -301;
1104 : -269;
1105 : -287;
1106 : -472;
1107 : -283;
1108 : -154;
1109 : -71;
110a : -216;
110b : -518;
110c : -329;
110d : -256;
110e : -636;
110f : -813;
1110 : -481;
1111 : -258;
1112 : -533;
1113 : -460;
1114 : -194;
1115 : -199;
1116 : -313;
1117 : -180;
1118 : -277;
1119 : -432;
111a : -234;
111b : -253;
111c : -361;
111d : -649;
111e : -264;
111f : -325;
1120 : -406;
1121 : -598;
1122 : -405;
1123 : -72;
1124 : -267;
1125 : -142;
1126 : -333;
1127 : -377;
1128 : -335;
1129 : -446;
112a : -382;
112b : -394;
112c : -693;
112d : -387;
112e : -454;
112f : -679;
1130 : -514;
1131 : -224;
1132 : -451;
1133 : -389;
1134 : 9;
1135 : -229;
1136 : -418;
1137 : -268;
1138 : -264;
1139 : -370;
113a : -465;
113b : -290;
113c : -547;
113d : -478;
113e : -105;
113f : -304;
1140 : -184;
1141 : -187;
1142 : -108;
1143 : -344;
1144 : -211;
1145 : -185;
1146 : -462;
1147 : -705;
1148 : -369;
1149 : -536;
114a : -631;
114b : -349;
114c : -454;
114d : -369;
114e : -393;
114f : -287;
1150 : -199;
1151 : -171;
1152 : -122;
1153 : -253;
1154 : -371;
1155 : -316;
1156 : -269;
1157 : -141;
1158 : -376;
1159 : -152;
115a : -331;
115b : -367;
115c : -284;
115d : -286;
115e : -253;
115f : -426;
1160 : -364;
1161 : -393;
1162 : -261;
1163 : -249;
1164 : -219;
1165 : -190;
1166 : -391;
1167 : -696;
1168 : -409;
1169 : -412;
116a : -438;
116b : -407;
116c : -270;
116d : -129;
116e : -246;
116f : -395;
1170 : -98;
1171 : -150;
1172 : -382;
1173 : -433;
1174 : -570;
1175 : -694;
1176 : -430;
1177 : -332;
1178 : -428;
1179 : -248;
117a : -388;
117b : -366;
117c : -374;
117d : -376;
117e : -337;
117f : -406;
1180 : -327;
1181 : -139;
1182 : -96;
1183 : -164;
1184 : -248;
1185 : -400;
1186 : -379;
1187 : -385;
1188 : -590;
1189 : -591;
118a : -403;
118b : -215;
118c : -189;
118d : -185;
118e : -236;
118f : -159;
1190 : -343;
1191 : -639;
1192 : -636;
1193 : -648;
1194 : -623;
1195 : -404;
1196 : -372;
1197 : -273;
1198 : -190;
1199 : -161;
119a : -208;
119b : -310;
119c : -379;
119d : -408;
119e : -288;
119f : -103;
11a0 : -139;
11a1 : -128;
11a2 : -172;
11a3 : -206;
11a4 : -394;
11a5 : -375;
11a6 : -394;
11a7 : -416;
11a8 : -345;
11a9 : -381;
11aa : -239;
11ab : -298;
11ac : -405;
11ad : -154;
11ae : -153;
11af : -185;
11b0 : -155;
11b1 : -335;
11b2 : -306;
11b3 : -369;
11b4 : -399;
11b5 : -258;
11b6 : -360;
11b7 : -392;
11b8 : -380;
11b9 : -326;
11ba : -424;
11bb : -274;
11bc : -251;
11bd : -488;
11be : -157;
11bf : -356;
11c0 : -426;
11c1 : -346;
11c2 : -279;
11c3 : -106;
11c4 : -193;
11c5 : -112;
11c6 : -344;
11c7 : -457;
11c8 : -335;
11c9 : -372;
11ca : -385;
11cb : -390;
11cc : -170;
11cd : -241;
11ce : -157;
11cf : -116;
11d0 : -138;
11d1 : -132;
11d2 : -346;
11d3 : -374;
11d4 : -412;
11d5 : -331;
11d6 : -576;
11d7 : -589;
11d8 : -320;
11d9 : -166;
11da : -367;
11db : -280;
11dc : -192;
11dd : -210;
11de : -205;
11df : -289;
11e0 : -276;
11e1 : -332;
11e2 : -304;
11e3 : -196;
11e4 : -369;
11e5 : -216;
11e6 : -345;
11e7 : -386;
11e8 : -391;
11e9 : -372;
11ea : -203;
11eb : -390;
11ec : -390;
11ed : -352;
11ee : -243;
11ef : -256;
11f0 : -106;
11f1 : -222;
11f2 : -264;
11f3 : -157;
11f4 : -99;
11f5 : -307;
11f6 : -404;
11f7 : -377;
11f8 : -388;
11f9 : -368;
11fa : -146;
11fb : -305;
11fc : -387;
11fd : -334;
11fe : -406;
11ff : -371;
1200 : -398;
1201 : -371;
1202 : -405;
1203 : -333;
1204 : -87;
1205 : -408;
1206 : -306;
1207 : -122;
1208 : -185;
1209 : -272;
120a : -379;
120b : -380;
120c : -343;
120d : -371;
120e : -191;
120f : -430;
1210 : -121;
1211 : -100;
1212 : -175;
1213 : -257;
1214 : -362;
1215 : -391;
1216 : -381;
1217 : -170;
1218 : -174;
1219 : -74;
121a : -180;
121b : -401;
121c : -219;
121d : -269;
121e : -267;
121f : -434;
1220 : -316;
1221 : -389;
1222 : -402;
1223 : -455;
1224 : -337;
1225 : -324;
1226 : -433;
1227 : -252;
1228 : -179;
1229 : -278;
122a : -244;
122b : -306;
122c : -298;
122d : -352;
122e : -341;
122f : -411;
1230 : -353;
1231 : -465;
1232 : -194;
1233 : -318;
1234 : -277;
1235 : -96;
1236 : -158;
1237 : -226;
1238 : -341;
1239 : -379;
123a : -180;
123b : -329;
123c : -263;
123d : -236;
123e : -303;
123f : -270;
1240 : -287;
1241 : -448;
1242 : -361;
1243 : -392;
1244 : -397;
1245 : -211;
1246 : -202;
1247 : -281;
1248 : -239;
1249 : -375;
124a : -384;
124b : -410;
124c : -430;
124d : -219;
124e : -231;
124f : -365;
1250 : -374;
1251 : -391;
1252 : -385;
1253 : -375;
1254 : -334;
1255 : -213;
1256 : -382;
1257 : -388;
1258 : -236;
1259 : -103;
125a : -255;
125b : -386;
125c : -390;
125d : -262;
125e : -361;
125f : -390;
1260 : -389;
1261 : -360;
1262 : -349;
1263 : -405;
1264 : -363;
1265 : -351;
1266 : -375;
1267 : -257;
1268 : -386;
1269 : -384;
126a : -325;
126b : -363;
126c : -351;
126d : -236;
126e : -291;
126f : -179;
1270 : -217;
1271 : -256;
1272 : -312;
1273 : -69;
1274 : -263;
1275 : -159;
1276 : -358;
1277 : -343;
1278 : -241;
1279 : -242;
127a : -104;
127b : -236;
127c : -292;
127d : -413;
127e : -344;
127f : -283;
1280 : -328;
1281 : -174;
1282 : -400;
1283 : -237;
1284 : -210;
1285 : -400;
1286 : -371;
1287 : -344;
1288 : -384;
1289 : -260;
128a : -369;
128b : -395;
128c : -372;
128d : -407;
128e : -297;
128f : -306;
1290 : -353;
1291 : -385;
1292 : -262;
1293 : -267;
1294 : -153;
1295 : -339;
1296 : -377;
1297 : -325;
1298 : -362;
1299 : -396;
129a : -368;
129b : -352;
129c : -359;
129d : -194;
129e : -452;
129f : -392;
12a0 : -391;
12a1 : -373;
12a2 : -404;
12a3 : -355;
12a4 : -446;
12a5 : -308;
12a6 : -211;
12a7 : -382;
12a8 : -325;
12a9 : -340;
12aa : -267;
12ab : -265;
12ac : -285;
12ad : -446;
12ae : -260;
12af : -203;
12b0 : -363;
12b1 : -67;
12b2 : -251;
12b3 : -226;
12b4 : -356;
12b5 : -388;
12b6 : -219;
12b7 : -287;
12b8 : -274;
12b9 : -208;
12ba : -358;
12bb : -372;
12bc : -231;
12bd : -317;
12be : -345;
12bf : -348;
12c0 : -308;
12c1 : -124;
12c2 : -248;
12c3 : -442;
12c4 : -368;
12c5 : -391;
12c6 : -238;
12c7 : -298;
12c8 : -381;
12c9 : -402;
12ca : -327;
12cb : -161;
12cc : -256;
12cd : -368;
12ce : -349;
12cf : -376;
12d0 : -325;
12d1 : -162;
12d2 : -383;
12d3 : -397;
12d4 : -235;
12d5 : -171;
12d6 : -124;
12d7 : -281;
12d8 : -427;
12d9 : -304;
12da : -131;
12db : -419;
12dc : -321;
12dd : -302;
12de : -323;
12df : -155;
12e0 : -117;
12e1 : -191;
12e2 : -239;
12e3 : -81;
12e4 : -320;
12e5 : -254;
12e6 : -196;
12e7 : -306;
12e8 : -410;
12e9 : -198;
12ea : -247;
12eb : -439;
12ec : -335;
12ed : -464;
12ee : -276;
12ef : -301;
12f0 : -465;
12f1 : -320;
12f2 : -472;
12f3 : -284;
12f4 : -243;
12f5 : -312;
12f6 : -156;
12f7 : -276;
12f8 : -399;
12f9 : -238;
12fa : -319;
12fb : -339;
12fc : -104;
12fd : -294;
12fe : -341;
12ff : -425;
1300 : -409;
1301 : -252;
1302 : -364;
1303 : -165;
1304 : -430;
1305 : -360;
1306 : -165;
1307 : -161;
1308 : -77;
1309 : -115;
130a : -238;
130b : -437;
130c : -294;
130d : -110;
130e : -332;
130f : -354;
1310 : -402;
1311 : -365;
1312 : -354;
1313 : -365;
1314 : -353;
1315 : -367;
1316 : -346;
1317 : -409;
1318 : -316;
1319 : -374;
131a : -273;
131b : -180;
131c : -406;
131d : -375;
131e : -278;
131f : -340;
1320 : -415;
1321 : -350;
1322 : -440;
1323 : -217;
1324 : -160;
1325 : -431;
1326 : -369;
1327 : -353;
1328 : -369;
1329 : -388;
132a : -393;
132b : -363;
132c : -456;
132d : -224;
132e : -380;
132f : -413;
1330 : -368;
1331 : -396;
1332 : -259;
1333 : -360;
1334 : -457;
1335 : -327;
1336 : -268;
1337 : -125;
1338 : -199;
1339 : -350;
133a : -150;
133b : -162;
133c : -64;
133d : -145;
133e : -120;
133f : -134;
1340 : -126;
1341 : -131;
1342 : -129;
1343 : -129;
1344 : -130;
1345 : -127;
1346 : -133;
1347 : -123;
1348 : -141;
1349 : -85;
134a : 13;
134b : -8;
134c : 3;
134d : -4;
134e : 1;
134f : -2;
1350 : 0;
1351 : -1;
1352 : -1;
1353 : -1;
1354 : -1;
1355 : -1;
1356 : -1;
1357 : -1;
1358 : -1;
1359 : -1;
135a : -1;
135b : -1;
135c : -1;
135d : -1;
135e : -1;
135f : -1;
1360 : -1;
1361 : -1;
1362 : -1;
1363 : -1;
1364 : -1;
1365 : -1;
1366 : -1;
1367 : -1;
1368 : -1;
1369 : -1;
136a : -1;
136b : -1;
136c : -1;
136d : -1;
136e : -1;
136f : -1;
1370 : -1;
1371 : -1;
END;
embedded system/hardware/shoot.v 0100777 0000000 0000000 00000014326 13466631477 014221 0 ustar 00 // megafunction wizard: %ROM: 1-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: shoot.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 18.1.0 Build 625 09/12/2018 SJ Standard Edition
// ************************************************************
//Copyright (C) 2018 Intel Corporation. All rights reserved.
//Your use of Intel Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Intel Program License
//Subscription Agreement, the Intel Quartus Prime License Agreement,
//the Intel FPGA IP License Agreement, or other applicable license
//agreement, including, without limitation, that your use is for
//the sole purpose of programming logic devices manufactured by
//Intel and sold by Intel or its authorized distributors. Please
//refer to the applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module shoot (
address,
clock,
q);
input [12:0] address;
input clock;
output [15:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [15:0] sub_wire0;
wire [15:0] q = sub_wire0[15:0];
altsyncram altsyncram_component (
.address_a (address),
.clock0 (clock),
.q_a (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.address_b (1'b1),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_a ({16{1'b1}}),
.data_b (1'b1),
.eccstatus (),
.q_b (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_a (1'b0),
.wren_b (1'b0));
defparam
altsyncram_component.address_aclr_a = "NONE",
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_output_a = "BYPASS",
altsyncram_component.init_file = "shoot.mif",
altsyncram_component.intended_device_family = "Cyclone V",
altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 5000,
altsyncram_component.operation_mode = "ROM",
altsyncram_component.outdata_aclr_a = "NONE",
altsyncram_component.outdata_reg_a = "CLOCK0",
altsyncram_component.widthad_a = 13,
altsyncram_component.width_a = 16,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: Clken NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING "shoot.mif"
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "5000"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
// Retrieval info: PRIVATE: WidthAddr NUMERIC "13"
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: INIT_FILE STRING "shoot.mif"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "5000"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: address 0 0 13 0 INPUT NODEFVAL "address[12..0]"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
// Retrieval info: CONNECT: @address_a 0 0 13 0 address 0 0 13 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL shoot_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
embedded system/hardware/shoot.v~ 0100777 0000000 0000000 00000014272 13466631477 014417 0 ustar 00 // megafunction wizard: %ROM: 1-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: shoot.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 13.1.1 Build 166 11/26/2013 SJ Full Version
// ************************************************************
//Copyright (C) 1991-2013 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module shoot (
address,
clock,
q);
input [7:0] address;
input clock;
output [15:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [15:0] sub_wire0;
wire [15:0] q = sub_wire0[15:0];
altsyncram altsyncram_component (
.address_a (address),
.clock0 (clock),
.q_a (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.address_b (1'b1),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_a ({16{1'b1}}),
.data_b (1'b1),
.eccstatus (),
.q_b (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_a (1'b0),
.wren_b (1'b0));
defparam
altsyncram_component.address_aclr_a = "NONE",
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_output_a = "BYPASS",
altsyncram_component.init_file = "shoot.mif",
altsyncram_component.intended_device_family = "Cyclone V",
altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 13800,
altsyncram_component.operation_mode = "ROM",
altsyncram_component.outdata_aclr_a = "NONE",
altsyncram_component.outdata_reg_a = "UNREGISTERED",
altsyncram_component.widthad_a = 8,
altsyncram_component.width_a = 16,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: Clken NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING "../alarm.mif"
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "20000"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
// Retrieval info: PRIVATE: RegOutput NUMERIC "0"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
// Retrieval info: PRIVATE: WidthAddr NUMERIC "15"
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: INIT_FILE STRING "../alarm.mif"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "20000"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL alarm_bb.v FALSE
// Retrieval info: LIB_FILE: altera_mf
embedded system/hardware/soc_system.qsys 0100777 0000000 0000000 00000113417 13466631500 015773 0 ustar 00
On-Board Peripherals
Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional
No,No,No,No,No,No,No,No
No,No,No,No,No,No,No,No,No,Yes,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,Yes,No,No,No,No,Yes,No,No,No,No,No,No,No,Yes,No,No,No,No,Yes,Yes,No,No,No,No,No,No,Yes,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No
No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No
0x000000000000000000
{320000000 1600000000} {320000000 1000000000} {800000000 400000000 400000000}
embedded system/hardware/soc_system.sdc 0100777 0000000 0000000 00000000513 13466631500 015535 0 ustar 00
foreach {clock port} {
clock_50_1 CLOCK_50
clock_50_2 CLOCK2_50
clock_50_3 CLOCK3_50
clock_50_4 CLOCK4_50
} {
create_clock -name $clock -period 20ns [get_ports $port]
}
create_clock -name clock_27_1 -period 37 [get_ports TD_CLK27]
derive_pll_clocks -create_base_clocks
derive_clock_uncertainty
embedded system/hardware/soc_system.tcl 0100777 0000000 0000000 00000023355 13466631500 015557 0 ustar 00 # Generate Quartus project files for the DE1-SoC board
#
# Stephen A. Edwards, Columbia University
# Invoke as
#
# quartus_sh -t soc_system.tcl
set project "soc_system"
# Top-level SystemVerilog file should be _top.sv, with Verilog module
# _top in it
set systemVerilogSource "${project}_top.sv"
set qip "${project}/synthesis/${project}.qip"
project_new $project -overwrite
foreach {name value} {
FAMILY "Cyclone V"
DEVICE 5CSEMA5F31C6
PROJECT_OUTPUT_DIRECTORY output_files
CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
NUM_PARALLEL_PROCESSORS 4
} { set_global_assignment -name $name $value }
set_global_assignment -name TOP_LEVEL_ENTITY "${project}_top"
foreach filename $systemVerilogSource {
set_global_assignment -name SYSTEMVERILOG_FILE $filename
}
foreach filename $qip {
set_global_assignment -name QIP_FILE $filename
}
# FPGA pin assignments
foreach {pin port} {
PIN_AJ4 ADC_CS_N
PIN_AK4 ADC_DIN
PIN_AK3 ADC_DOUT
PIN_AK2 ADC_SCLK
PIN_K7 AUD_ADCDAT
PIN_K8 AUD_ADCLRCK
PIN_H7 AUD_BCLK
PIN_J7 AUD_DACDAT
PIN_H8 AUD_DACLRCK
PIN_G7 AUD_XCK
PIN_AA16 CLOCK2_50
PIN_Y26 CLOCK3_50
PIN_K14 CLOCK4_50
PIN_AF14 CLOCK_50
PIN_AK14 DRAM_ADDR[0]
PIN_AH14 DRAM_ADDR[1]
PIN_AG15 DRAM_ADDR[2]
PIN_AE14 DRAM_ADDR[3]
PIN_AB15 DRAM_ADDR[4]
PIN_AC14 DRAM_ADDR[5]
PIN_AD14 DRAM_ADDR[6]
PIN_AF15 DRAM_ADDR[7]
PIN_AH15 DRAM_ADDR[8]
PIN_AG13 DRAM_ADDR[9]
PIN_AG12 DRAM_ADDR[10]
PIN_AH13 DRAM_ADDR[11]
PIN_AJ14 DRAM_ADDR[12]
PIN_AF13 DRAM_BA[0]
PIN_AJ12 DRAM_BA[1]
PIN_AF11 DRAM_CAS_N
PIN_AK13 DRAM_CKE
PIN_AH12 DRAM_CLK
PIN_AG11 DRAM_CS_N
PIN_AK6 DRAM_DQ[0]
PIN_AJ7 DRAM_DQ[1]
PIN_AK7 DRAM_DQ[2]
PIN_AK8 DRAM_DQ[3]
PIN_AK9 DRAM_DQ[4]
PIN_AG10 DRAM_DQ[5]
PIN_AK11 DRAM_DQ[6]
PIN_AJ11 DRAM_DQ[7]
PIN_AH10 DRAM_DQ[8]
PIN_AJ10 DRAM_DQ[9]
PIN_AJ9 DRAM_DQ[10]
PIN_AH9 DRAM_DQ[11]
PIN_AH8 DRAM_DQ[12]
PIN_AH7 DRAM_DQ[13]
PIN_AJ6 DRAM_DQ[14]
PIN_AJ5 DRAM_DQ[15]
PIN_AB13 DRAM_LDQM
PIN_AE13 DRAM_RAS_N
PIN_AK12 DRAM_UDQM
PIN_AA13 DRAM_WE_N
PIN_AA12 FAN_CTRL
PIN_J12 FPGA_I2C_SCLK
PIN_K12 FPGA_I2C_SDAT
PIN_AC18 GPIO_0[0]
PIN_Y17 GPIO_0[1]
PIN_AD17 GPIO_0[2]
PIN_Y18 GPIO_0[3]
PIN_AK16 GPIO_0[4]
PIN_AK18 GPIO_0[5]
PIN_AK19 GPIO_0[6]
PIN_AJ19 GPIO_0[7]
PIN_AJ17 GPIO_0[8]
PIN_AJ16 GPIO_0[9]
PIN_AH18 GPIO_0[10]
PIN_AH17 GPIO_0[11]
PIN_AG16 GPIO_0[12]
PIN_AE16 GPIO_0[13]
PIN_AF16 GPIO_0[14]
PIN_AG17 GPIO_0[15]
PIN_AA18 GPIO_0[16]
PIN_AA19 GPIO_0[17]
PIN_AE17 GPIO_0[18]
PIN_AC20 GPIO_0[19]
PIN_AH19 GPIO_0[20]
PIN_AJ20 GPIO_0[21]
PIN_AH20 GPIO_0[22]
PIN_AK21 GPIO_0[23]
PIN_AD19 GPIO_0[24]
PIN_AD20 GPIO_0[25]
PIN_AE18 GPIO_0[26]
PIN_AE19 GPIO_0[27]
PIN_AF20 GPIO_0[28]
PIN_AF21 GPIO_0[29]
PIN_AF19 GPIO_0[30]
PIN_AG21 GPIO_0[31]
PIN_AF18 GPIO_0[32]
PIN_AG20 GPIO_0[33]
PIN_AG18 GPIO_0[34]
PIN_AJ21 GPIO_0[35]
PIN_AB17 GPIO_1[0]
PIN_AA21 GPIO_1[1]
PIN_AB21 GPIO_1[2]
PIN_AC23 GPIO_1[3]
PIN_AD24 GPIO_1[4]
PIN_AE23 GPIO_1[5]
PIN_AE24 GPIO_1[6]
PIN_AF25 GPIO_1[7]
PIN_AF26 GPIO_1[8]
PIN_AG25 GPIO_1[9]
PIN_AG26 GPIO_1[10]
PIN_AH24 GPIO_1[11]
PIN_AH27 GPIO_1[12]
PIN_AJ27 GPIO_1[13]
PIN_AK29 GPIO_1[14]
PIN_AK28 GPIO_1[15]
PIN_AK27 GPIO_1[16]
PIN_AJ26 GPIO_1[17]
PIN_AK26 GPIO_1[18]
PIN_AH25 GPIO_1[19]
PIN_AJ25 GPIO_1[20]
PIN_AJ24 GPIO_1[21]
PIN_AK24 GPIO_1[22]
PIN_AG23 GPIO_1[23]
PIN_AK23 GPIO_1[24]
PIN_AH23 GPIO_1[25]
PIN_AK22 GPIO_1[26]
PIN_AJ22 GPIO_1[27]
PIN_AH22 GPIO_1[28]
PIN_AG22 GPIO_1[29]
PIN_AF24 GPIO_1[30]
PIN_AF23 GPIO_1[31]
PIN_AE22 GPIO_1[32]
PIN_AD21 GPIO_1[33]
PIN_AA20 GPIO_1[34]
PIN_AC22 GPIO_1[35]
PIN_AE26 HEX0[0]
PIN_AE27 HEX0[1]
PIN_AE28 HEX0[2]
PIN_AG27 HEX0[3]
PIN_AF28 HEX0[4]
PIN_AG28 HEX0[5]
PIN_AH28 HEX0[6]
PIN_AJ29 HEX1[0]
PIN_AH29 HEX1[1]
PIN_AH30 HEX1[2]
PIN_AG30 HEX1[3]
PIN_AF29 HEX1[4]
PIN_AF30 HEX1[5]
PIN_AD27 HEX1[6]
PIN_AB23 HEX2[0]
PIN_AE29 HEX2[1]
PIN_AD29 HEX2[2]
PIN_AC28 HEX2[3]
PIN_AD30 HEX2[4]
PIN_AC29 HEX2[5]
PIN_AC30 HEX2[6]
PIN_AD26 HEX3[0]
PIN_AC27 HEX3[1]
PIN_AD25 HEX3[2]
PIN_AC25 HEX3[3]
PIN_AB28 HEX3[4]
PIN_AB25 HEX3[5]
PIN_AB22 HEX3[6]
PIN_AA24 HEX4[0]
PIN_Y23 HEX4[1]
PIN_Y24 HEX4[2]
PIN_W22 HEX4[3]
PIN_W24 HEX4[4]
PIN_V23 HEX4[5]
PIN_W25 HEX4[6]
PIN_V25 HEX5[0]
PIN_AA28 HEX5[1]
PIN_Y27 HEX5[2]
PIN_AB27 HEX5[3]
PIN_AB26 HEX5[4]
PIN_AA26 HEX5[5]
PIN_AA25 HEX5[6]
PIN_AA30 IRDA_RXD
PIN_AB30 IRDA_TXD
PIN_AA14 KEY[0]
PIN_AA15 KEY[1]
PIN_W15 KEY[2]
PIN_Y16 KEY[3]
PIN_V16 LEDR[0]
PIN_W16 LEDR[1]
PIN_V17 LEDR[2]
PIN_V18 LEDR[3]
PIN_W17 LEDR[4]
PIN_W19 LEDR[5]
PIN_Y19 LEDR[6]
PIN_W20 LEDR[7]
PIN_W21 LEDR[8]
PIN_Y21 LEDR[9]
PIN_AD7 PS2_CLK
PIN_AD9 PS2_CLK2
PIN_AE7 PS2_DAT
PIN_AE9 PS2_DAT2
PIN_AB12 SW[0]
PIN_AC12 SW[1]
PIN_AF9 SW[2]
PIN_AF10 SW[3]
PIN_AD11 SW[4]
PIN_AD12 SW[5]
PIN_AE11 SW[6]
PIN_AC9 SW[7]
PIN_AD10 SW[8]
PIN_AE12 SW[9]
PIN_H15 TD_CLK27
PIN_D2 TD_DATA[0]
PIN_B1 TD_DATA[1]
PIN_E2 TD_DATA[2]
PIN_B2 TD_DATA[3]
PIN_D1 TD_DATA[4]
PIN_E1 TD_DATA[5]
PIN_C2 TD_DATA[6]
PIN_B3 TD_DATA[7]
PIN_A5 TD_HS
PIN_F6 TD_RESET_N
PIN_A3 TD_VS
PIN_A13 VGA_R[0]
PIN_C13 VGA_R[1]
PIN_E13 VGA_R[2]
PIN_B12 VGA_R[3]
PIN_C12 VGA_R[4]
PIN_D12 VGA_R[5]
PIN_E12 VGA_R[6]
PIN_F13 VGA_R[7]
PIN_J9 VGA_G[0]
PIN_J10 VGA_G[1]
PIN_H12 VGA_G[2]
PIN_G10 VGA_G[3]
PIN_G11 VGA_G[4]
PIN_G12 VGA_G[5]
PIN_F11 VGA_G[6]
PIN_E11 VGA_G[7]
PIN_B13 VGA_B[0]
PIN_G13 VGA_B[1]
PIN_H13 VGA_B[2]
PIN_F14 VGA_B[3]
PIN_H14 VGA_B[4]
PIN_F15 VGA_B[5]
PIN_G15 VGA_B[6]
PIN_J14 VGA_B[7]
PIN_A11 VGA_CLK
PIN_B11 VGA_HS
PIN_D11 VGA_VS
PIN_F10 VGA_BLANK_N
PIN_C10 VGA_SYNC_N
} {
set_location_assignment $pin -to $port
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to $port
}
# HPS assignments
# 3.3-V LVTTL pins
foreach port {
HPS_CONV_USB_N
HPS_ENET_GTX_CLK
HPS_ENET_INT_N
HPS_ENET_MDC
HPS_ENET_MDIO
HPS_ENET_RX_CLK
HPS_ENET_RX_DATA[0]
HPS_ENET_RX_DATA[1]
HPS_ENET_RX_DATA[2]
HPS_ENET_RX_DATA[3]
HPS_ENET_RX_DV
HPS_ENET_TX_DATA[0]
HPS_ENET_TX_DATA[1]
HPS_ENET_TX_DATA[2]
HPS_ENET_TX_DATA[3]
HPS_ENET_TX_EN
HPS_GSENSOR_INT
HPS_I2C1_SCLK
HPS_I2C1_SDAT
HPS_I2C2_SCLK
HPS_I2C2_SDAT
HPS_I2C_CONTROL
HPS_KEY
HPS_LED
HPS_LTC_GPIO
HPS_SD_CLK
HPS_SD_CMD
HPS_SD_DATA[0]
HPS_SD_DATA[1]
HPS_SD_DATA[2]
HPS_SD_DATA[3]
HPS_SPIM_CLK
HPS_SPIM_MISO
HPS_SPIM_MOSI
HPS_SPIM_SS
HPS_UART_RX
HPS_UART_TX
HPS_USB_CLKOUT
HPS_USB_DATA[0]
HPS_USB_DATA[1]
HPS_USB_DATA[2]
HPS_USB_DATA[3]
HPS_USB_DATA[4]
HPS_USB_DATA[5]
HPS_USB_DATA[6]
HPS_USB_DATA[7]
HPS_USB_DIR
HPS_USB_NXT
HPS_USB_STP
} {
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to $port
}
# There are a lot of settings for the HPS_DDR3 interface not listed here.
# Instead, the
#
# soc_system/synthesis/submodules/hps_sdram_p0_pin_assignments.tcl
#
# script generated by qsys adds that information. However, quartus_map
# must be run before this .tcl script may run because the script
# relies on being able to look at the (HPS) netlist to determine which
# pins to constrain
set sdcFilename "${project}.sdc"
set_global_assignment -name SDC_FILE $sdcFilename
set sdcf [open $sdcFilename "w"]
puts $sdcf {
foreach {clock port} {
clock_50_1 CLOCK_50
clock_50_2 CLOCK2_50
clock_50_3 CLOCK3_50
clock_50_4 CLOCK4_50
} {
create_clock -name $clock -period 20ns [get_ports $port]
}
create_clock -name clock_27_1 -period 37 [get_ports TD_CLK27]
derive_pll_clocks -create_base_clocks
derive_clock_uncertainty
}
close $sdcf
project_close
embedded system/hardware/soc_system_top.sv 0100777 0000000 0000000 00000026167 13466631501 016314 0 ustar 00 // ==================================================================
// Copyright (c) 2013 by Terasic Technologies Inc.
// ==================================================================
//
// Modified 2019 by Stephen A. Edwards
//
// Permission:
//
// Terasic grants permission to use and modify this code for use in
// synthesis for all Terasic Development Boards and Altera
// Development Kits made by Terasic. Other use of this code,
// including the selling ,duplication, or modification of any
// portion is strictly prohibited.
//
// Disclaimer:
//
// This VHDL/Verilog or C/C++ source code is intended as a design
// reference which illustrates how these types of functions can be
// implemented. It is the user's responsibility to verify their
// design for consistency and functionality through the use of
// formal verification methods. Terasic provides no warranty
// regarding the use or functionality of this code.
//
// ===============================================================
//
// Terasic Technologies Inc
// 9F., No.176, Sec.2, Gongdao 5th Rd, East Dist, Hsinchu City, 30070. Taiwan
//
//
// web: http://www.terasic.com/
// email: support@terasic.com
module soc_system_top(
///////// ADC /////////
inout ADC_CS_N,
output ADC_DIN,
input ADC_DOUT,
output ADC_SCLK,
///////// AUD /////////
input AUD_ADCDAT,
inout AUD_ADCLRCK,
inout AUD_BCLK,
output AUD_DACDAT,
inout AUD_DACLRCK,
output AUD_XCK,
///////// CLOCK2 /////////
input CLOCK2_50,
///////// CLOCK3 /////////
input CLOCK3_50,
///////// CLOCK4 /////////
input CLOCK4_50,
///////// CLOCK /////////
input CLOCK_50,
///////// DRAM /////////
output [12:0] DRAM_ADDR,
output [1:0] DRAM_BA,
output DRAM_CAS_N,
output DRAM_CKE,
output DRAM_CLK,
output DRAM_CS_N,
inout [15:0] DRAM_DQ,
output DRAM_LDQM,
output DRAM_RAS_N,
output DRAM_UDQM,
output DRAM_WE_N,
///////// FAN /////////
output FAN_CTRL,
///////// FPGA /////////
output FPGA_I2C_SCLK,
inout FPGA_I2C_SDAT,
///////// GPIO /////////
inout [35:0] GPIO_0,
inout [35:0] GPIO_1,
///////// HEX0 /////////
output [6:0] HEX0,
///////// HEX1 /////////
output [6:0] HEX1,
///////// HEX2 /////////
output [6:0] HEX2,
///////// HEX3 /////////
output [6:0] HEX3,
///////// HEX4 /////////
output [6:0] HEX4,
///////// HEX5 /////////
output [6:0] HEX5,
///////// HPS /////////
inout HPS_CONV_USB_N,
output [14:0] HPS_DDR3_ADDR,
output [2:0] HPS_DDR3_BA,
output HPS_DDR3_CAS_N,
output HPS_DDR3_CKE,
output HPS_DDR3_CK_N,
output HPS_DDR3_CK_P,
output HPS_DDR3_CS_N,
output [3:0] HPS_DDR3_DM,
inout [31:0] HPS_DDR3_DQ,
inout [3:0] HPS_DDR3_DQS_N,
inout [3:0] HPS_DDR3_DQS_P,
output HPS_DDR3_ODT,
output HPS_DDR3_RAS_N,
output HPS_DDR3_RESET_N,
input HPS_DDR3_RZQ,
output HPS_DDR3_WE_N,
output HPS_ENET_GTX_CLK,
inout HPS_ENET_INT_N,
output HPS_ENET_MDC,
inout HPS_ENET_MDIO,
input HPS_ENET_RX_CLK,
input [3:0] HPS_ENET_RX_DATA,
input HPS_ENET_RX_DV,
output [3:0] HPS_ENET_TX_DATA,
output HPS_ENET_TX_EN,
inout HPS_GSENSOR_INT,
inout HPS_I2C1_SCLK,
inout HPS_I2C1_SDAT,
inout HPS_I2C2_SCLK,
inout HPS_I2C2_SDAT,
inout HPS_I2C_CONTROL,
inout HPS_KEY,
inout HPS_LED,
inout HPS_LTC_GPIO,
output HPS_SD_CLK,
inout HPS_SD_CMD,
inout [3:0] HPS_SD_DATA,
output HPS_SPIM_CLK,
input HPS_SPIM_MISO,
output HPS_SPIM_MOSI,
inout HPS_SPIM_SS,
input HPS_UART_RX,
output HPS_UART_TX,
input HPS_USB_CLKOUT,
inout [7:0] HPS_USB_DATA,
input HPS_USB_DIR,
input HPS_USB_NXT,
output HPS_USB_STP,
///////// IRDA /////////
input IRDA_RXD,
output IRDA_TXD,
///////// KEY /////////
input [3:0] KEY,
///////// LEDR /////////
output [9:0] LEDR,
///////// PS2 /////////
inout PS2_CLK,
inout PS2_CLK2,
inout PS2_DAT,
inout PS2_DAT2,
///////// SW /////////
input [9:0] SW,
///////// TD /////////
input TD_CLK27,
input [7:0] TD_DATA,
input TD_HS,
output TD_RESET_N,
input TD_VS,
///////// VGA /////////
output [7:0] VGA_B,
output VGA_BLANK_N,
output VGA_CLK,
output [7:0] VGA_G,
output VGA_HS,
output [7:0] VGA_R,
output VGA_SYNC_N,
output VGA_VS
);
soc_system soc_system0(
.clk_clk ( CLOCK_50 ),
.reset_reset_n ( 1'b1 ),
.hps_ddr3_mem_a ( HPS_DDR3_ADDR ),
.hps_ddr3_mem_ba ( HPS_DDR3_BA ),
.hps_ddr3_mem_ck ( HPS_DDR3_CK_P ),
.hps_ddr3_mem_ck_n ( HPS_DDR3_CK_N ),
.hps_ddr3_mem_cke ( HPS_DDR3_CKE ),
.hps_ddr3_mem_cs_n ( HPS_DDR3_CS_N ),
.hps_ddr3_mem_ras_n ( HPS_DDR3_RAS_N ),
.hps_ddr3_mem_cas_n ( HPS_DDR3_CAS_N ),
.hps_ddr3_mem_we_n ( HPS_DDR3_WE_N ),
.hps_ddr3_mem_reset_n ( HPS_DDR3_RESET_N ),
.hps_ddr3_mem_dq ( HPS_DDR3_DQ ),
.hps_ddr3_mem_dqs ( HPS_DDR3_DQS_P ),
.hps_ddr3_mem_dqs_n ( HPS_DDR3_DQS_N ),
.hps_ddr3_mem_odt ( HPS_DDR3_ODT ),
.hps_ddr3_mem_dm ( HPS_DDR3_DM ),
.hps_ddr3_oct_rzqin ( HPS_DDR3_RZQ ),
.hps_hps_io_emac1_inst_TX_CLK ( HPS_ENET_GTX_CLK ),
.hps_hps_io_emac1_inst_TXD0 ( HPS_ENET_TX_DATA[0] ),
.hps_hps_io_emac1_inst_TXD1 ( HPS_ENET_TX_DATA[1] ),
.hps_hps_io_emac1_inst_TXD2 ( HPS_ENET_TX_DATA[2] ),
.hps_hps_io_emac1_inst_TXD3 ( HPS_ENET_TX_DATA[3] ),
.hps_hps_io_emac1_inst_RXD0 ( HPS_ENET_RX_DATA[0] ),
.hps_hps_io_emac1_inst_MDIO ( HPS_ENET_MDIO ),
.hps_hps_io_emac1_inst_MDC ( HPS_ENET_MDC ),
.hps_hps_io_emac1_inst_RX_CTL ( HPS_ENET_RX_DV ),
.hps_hps_io_emac1_inst_TX_CTL ( HPS_ENET_TX_EN ),
.hps_hps_io_emac1_inst_RX_CLK ( HPS_ENET_RX_CLK ),
.hps_hps_io_emac1_inst_RXD1 ( HPS_ENET_RX_DATA[1] ),
.hps_hps_io_emac1_inst_RXD2 ( HPS_ENET_RX_DATA[2] ),
.hps_hps_io_emac1_inst_RXD3 ( HPS_ENET_RX_DATA[3] ),
.hps_hps_io_sdio_inst_CMD ( HPS_SD_CMD ),
.hps_hps_io_sdio_inst_D0 ( HPS_SD_DATA[0] ),
.hps_hps_io_sdio_inst_D1 ( HPS_SD_DATA[1] ),
.hps_hps_io_sdio_inst_CLK ( HPS_SD_CLK ),
.hps_hps_io_sdio_inst_D2 ( HPS_SD_DATA[2] ),
.hps_hps_io_sdio_inst_D3 ( HPS_SD_DATA[3] ),
.hps_hps_io_usb1_inst_D0 ( HPS_USB_DATA[0] ),
.hps_hps_io_usb1_inst_D1 ( HPS_USB_DATA[1] ),
.hps_hps_io_usb1_inst_D2 ( HPS_USB_DATA[2] ),
.hps_hps_io_usb1_inst_D3 ( HPS_USB_DATA[3] ),
.hps_hps_io_usb1_inst_D4 ( HPS_USB_DATA[4] ),
.hps_hps_io_usb1_inst_D5 ( HPS_USB_DATA[5] ),
.hps_hps_io_usb1_inst_D6 ( HPS_USB_DATA[6] ),
.hps_hps_io_usb1_inst_D7 ( HPS_USB_DATA[7] ),
.hps_hps_io_usb1_inst_CLK ( HPS_USB_CLKOUT ),
.hps_hps_io_usb1_inst_STP ( HPS_USB_STP ),
.hps_hps_io_usb1_inst_DIR ( HPS_USB_DIR ),
.hps_hps_io_usb1_inst_NXT ( HPS_USB_NXT ),
.hps_hps_io_spim1_inst_CLK ( HPS_SPIM_CLK ),
.hps_hps_io_spim1_inst_MOSI ( HPS_SPIM_MOSI ),
.hps_hps_io_spim1_inst_MISO ( HPS_SPIM_MISO ),
.hps_hps_io_spim1_inst_SS0 ( HPS_SPIM_SS ),
.hps_hps_io_uart0_inst_RX ( HPS_UART_RX ),
.hps_hps_io_uart0_inst_TX ( HPS_UART_TX ),
.hps_hps_io_i2c0_inst_SDA ( HPS_I2C1_SDAT ),
.hps_hps_io_i2c0_inst_SCL ( HPS_I2C1_SCLK ),
.hps_hps_io_i2c1_inst_SDA ( HPS_I2C2_SDAT ),
.hps_hps_io_i2c1_inst_SCL ( HPS_I2C2_SCLK ),
.hps_hps_io_gpio_inst_GPIO09 ( HPS_CONV_USB_N ),
.hps_hps_io_gpio_inst_GPIO35 ( HPS_ENET_INT_N ),
.hps_hps_io_gpio_inst_GPIO40 ( HPS_LTC_GPIO ),
.hps_hps_io_gpio_inst_GPIO48 ( HPS_I2C_CONTROL ),
.hps_hps_io_gpio_inst_GPIO53 ( HPS_LED ),
.hps_hps_io_gpio_inst_GPIO54 ( HPS_KEY ),
.hps_hps_io_gpio_inst_GPIO61 ( HPS_GSENSOR_INT ),
.vga_r (VGA_R),
.vga_g (VGA_G),
.vga_b (VGA_B),
.vga_clk (VGA_CLK),
.vga_hs (VGA_HS),
.vga_vs (VGA_VS),
.vga_blank_n (VGA_BLANK_N),
.vga_sync_n (VGA_SYNC_N),
.ps2_clk(PS2_CLK),
.ps2_dat(PS2_DAT),
.key_key(KEY),
//.audio_0_avalon_left_channel_sink_data (aud_sample_data),
//.audio_0_avalon_left_channel_sink_valid (aud_sample_valid),
//.audio_0_avalon_left_channel_sink_ready (aud_left_chan),
//.audio_0_avalon_right_channel_sink_data (aud_sample_data),
//.audio_0_avalon_right_channel_sink_valid (aud_sample_valid),
//.audio_0_avalon_right_channel_sink_ready (aud_right_chan),
.audio_0_external_interface_BCLK (AUD_BCLK),
.audio_0_external_interface_DACDAT (AUD_DACDAT),
.audio_0_external_interface_DACLRCK (AUD_DACLRCK),
.audio_pll_0_audio_clk_clk (AUD_XCK),
.audio_and_video_config_0_external_interface_SDAT (FPGA_I2C_SDAT),
.audio_and_video_config_0_external_interface_SCLK (FPGA_I2C_SCLK)
);
// The following quiet the "no driver" warnings for output
// pins and should be removed if you use any of these peripherals
assign ADC_CS_N = SW[1] ? SW[0] : 1'bZ;
assign ADC_DIN = SW[0];
assign ADC_SCLK = SW[0];
//assign AUD_ADCLRCK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_BCLK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_DACDAT = SW[0];
//assign AUD_DACLRCK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_XCK = SW[0];
assign DRAM_ADDR = { 13{ SW[0] } };
assign DRAM_BA = { 2{ SW[0] } };
assign DRAM_DQ = SW[1] ? { 16{ SW[0] } } : 16'bZ;
assign {DRAM_CAS_N, DRAM_CKE, DRAM_CLK, DRAM_CS_N,
DRAM_LDQM, DRAM_RAS_N, DRAM_UDQM, DRAM_WE_N} = { 8{SW[0]} };
assign FAN_CTRL = SW[0];
// assign FPGA_I2C_SCLK = SW[0];
// assign FPGA_I2C_SDAT = SW[1] ? SW[0] : 1'bZ;
assign GPIO_0 = SW[1] ? { 36{ SW[0] } } : 36'bZ;
assign GPIO_1 = SW[1] ? { 36{ SW[0] } } : 36'bZ;
assign HEX0 = { 7{ SW[1] } };
assign HEX1 = { 7{ SW[2] } };
assign HEX2 = { 7{ SW[3] } };
assign HEX3 = { 7{ SW[4] } };
assign HEX4 = { 7{ SW[5] } };
assign HEX5 = { 7{ SW[6] } };
// assign IRDA_TXD = SW[0];
assign LEDR = { 10{SW[7]} };
// assign PS2_CLK = SW[1] ? SW[0] : 1'bZ;
assign PS2_CLK2 = SW[1] ? SW[0] : 1'bZ;
// assign PS2_DAT = SW[1] ? SW[0] : 1'bZ;
assign PS2_DAT2 = SW[1] ? SW[0] : 1'bZ;
// assign TD_RESET_N = SW[0];
//assign {VGA_R, VGA_G, VGA_B} = { 24{ SW[0] } };
//assign {VGA_BLANK_N, VGA_CLK,
//VGA_HS, VGA_SYNC_N, VGA_VS} = { 5{ SW[0] } };
endmodule
embedded system/hardware/soc_system_top.sv~ 0100777 0000000 0000000 00000026147 13466631501 016510 0 ustar 00 // ==================================================================
// Copyright (c) 2013 by Terasic Technologies Inc.
// ==================================================================
//
// Modified 2019 by Stephen A. Edwards
//
// Permission:
//
// Terasic grants permission to use and modify this code for use in
// synthesis for all Terasic Development Boards and Altera
// Development Kits made by Terasic. Other use of this code,
// including the selling ,duplication, or modification of any
// portion is strictly prohibited.
//
// Disclaimer:
//
// This VHDL/Verilog or C/C++ source code is intended as a design
// reference which illustrates how these types of functions can be
// implemented. It is the user's responsibility to verify their
// design for consistency and functionality through the use of
// formal verification methods. Terasic provides no warranty
// regarding the use or functionality of this code.
//
// ===============================================================
//
// Terasic Technologies Inc
// 9F., No.176, Sec.2, Gongdao 5th Rd, East Dist, Hsinchu City, 30070. Taiwan
//
//
// web: http://www.terasic.com/
// email: support@terasic.com
module soc_system_top(
///////// ADC /////////
inout ADC_CS_N,
output ADC_DIN,
input ADC_DOUT,
output ADC_SCLK,
///////// AUD /////////
input AUD_ADCDAT,
inout AUD_ADCLRCK,
inout AUD_BCLK,
output AUD_DACDAT,
inout AUD_DACLRCK,
output AUD_XCK,
///////// CLOCK2 /////////
input CLOCK2_50,
///////// CLOCK3 /////////
input CLOCK3_50,
///////// CLOCK4 /////////
input CLOCK4_50,
///////// CLOCK /////////
input CLOCK_50,
///////// DRAM /////////
output [12:0] DRAM_ADDR,
output [1:0] DRAM_BA,
output DRAM_CAS_N,
output DRAM_CKE,
output DRAM_CLK,
output DRAM_CS_N,
inout [15:0] DRAM_DQ,
output DRAM_LDQM,
output DRAM_RAS_N,
output DRAM_UDQM,
output DRAM_WE_N,
///////// FAN /////////
output FAN_CTRL,
///////// FPGA /////////
output FPGA_I2C_SCLK,
inout FPGA_I2C_SDAT,
///////// GPIO /////////
inout [35:0] GPIO_0,
inout [35:0] GPIO_1,
///////// HEX0 /////////
output [6:0] HEX0,
///////// HEX1 /////////
output [6:0] HEX1,
///////// HEX2 /////////
output [6:0] HEX2,
///////// HEX3 /////////
output [6:0] HEX3,
///////// HEX4 /////////
output [6:0] HEX4,
///////// HEX5 /////////
output [6:0] HEX5,
///////// HPS /////////
inout HPS_CONV_USB_N,
output [14:0] HPS_DDR3_ADDR,
output [2:0] HPS_DDR3_BA,
output HPS_DDR3_CAS_N,
output HPS_DDR3_CKE,
output HPS_DDR3_CK_N,
output HPS_DDR3_CK_P,
output HPS_DDR3_CS_N,
output [3:0] HPS_DDR3_DM,
inout [31:0] HPS_DDR3_DQ,
inout [3:0] HPS_DDR3_DQS_N,
inout [3:0] HPS_DDR3_DQS_P,
output HPS_DDR3_ODT,
output HPS_DDR3_RAS_N,
output HPS_DDR3_RESET_N,
input HPS_DDR3_RZQ,
output HPS_DDR3_WE_N,
output HPS_ENET_GTX_CLK,
inout HPS_ENET_INT_N,
output HPS_ENET_MDC,
inout HPS_ENET_MDIO,
input HPS_ENET_RX_CLK,
input [3:0] HPS_ENET_RX_DATA,
input HPS_ENET_RX_DV,
output [3:0] HPS_ENET_TX_DATA,
output HPS_ENET_TX_EN,
inout HPS_GSENSOR_INT,
inout HPS_I2C1_SCLK,
inout HPS_I2C1_SDAT,
inout HPS_I2C2_SCLK,
inout HPS_I2C2_SDAT,
inout HPS_I2C_CONTROL,
inout HPS_KEY,
inout HPS_LED,
inout HPS_LTC_GPIO,
output HPS_SD_CLK,
inout HPS_SD_CMD,
inout [3:0] HPS_SD_DATA,
output HPS_SPIM_CLK,
input HPS_SPIM_MISO,
output HPS_SPIM_MOSI,
inout HPS_SPIM_SS,
input HPS_UART_RX,
output HPS_UART_TX,
input HPS_USB_CLKOUT,
inout [7:0] HPS_USB_DATA,
input HPS_USB_DIR,
input HPS_USB_NXT,
output HPS_USB_STP,
///////// IRDA /////////
input IRDA_RXD,
output IRDA_TXD,
///////// KEY /////////
input [3:0] KEY,
///////// LEDR /////////
output [9:0] LEDR,
///////// PS2 /////////
inout PS2_CLK,
inout PS2_CLK2,
inout PS2_DAT,
inout PS2_DAT2,
///////// SW /////////
input [9:0] SW,
///////// TD /////////
input TD_CLK27,
input [7:0] TD_DATA,
input TD_HS,
output TD_RESET_N,
input TD_VS,
///////// VGA /////////
output [7:0] VGA_B,
output VGA_BLANK_N,
output VGA_CLK,
output [7:0] VGA_G,
output VGA_HS,
output [7:0] VGA_R,
output VGA_SYNC_N,
output VGA_VS
);
soc_system soc_system0(
.clk_clk ( CLOCK_50 ),
.reset_reset_n ( 1'b1 ),
.hps_ddr3_mem_a ( HPS_DDR3_ADDR ),
.hps_ddr3_mem_ba ( HPS_DDR3_BA ),
.hps_ddr3_mem_ck ( HPS_DDR3_CK_P ),
.hps_ddr3_mem_ck_n ( HPS_DDR3_CK_N ),
.hps_ddr3_mem_cke ( HPS_DDR3_CKE ),
.hps_ddr3_mem_cs_n ( HPS_DDR3_CS_N ),
.hps_ddr3_mem_ras_n ( HPS_DDR3_RAS_N ),
.hps_ddr3_mem_cas_n ( HPS_DDR3_CAS_N ),
.hps_ddr3_mem_we_n ( HPS_DDR3_WE_N ),
.hps_ddr3_mem_reset_n ( HPS_DDR3_RESET_N ),
.hps_ddr3_mem_dq ( HPS_DDR3_DQ ),
.hps_ddr3_mem_dqs ( HPS_DDR3_DQS_P ),
.hps_ddr3_mem_dqs_n ( HPS_DDR3_DQS_N ),
.hps_ddr3_mem_odt ( HPS_DDR3_ODT ),
.hps_ddr3_mem_dm ( HPS_DDR3_DM ),
.hps_ddr3_oct_rzqin ( HPS_DDR3_RZQ ),
.hps_hps_io_emac1_inst_TX_CLK ( HPS_ENET_GTX_CLK ),
.hps_hps_io_emac1_inst_TXD0 ( HPS_ENET_TX_DATA[0] ),
.hps_hps_io_emac1_inst_TXD1 ( HPS_ENET_TX_DATA[1] ),
.hps_hps_io_emac1_inst_TXD2 ( HPS_ENET_TX_DATA[2] ),
.hps_hps_io_emac1_inst_TXD3 ( HPS_ENET_TX_DATA[3] ),
.hps_hps_io_emac1_inst_RXD0 ( HPS_ENET_RX_DATA[0] ),
.hps_hps_io_emac1_inst_MDIO ( HPS_ENET_MDIO ),
.hps_hps_io_emac1_inst_MDC ( HPS_ENET_MDC ),
.hps_hps_io_emac1_inst_RX_CTL ( HPS_ENET_RX_DV ),
.hps_hps_io_emac1_inst_TX_CTL ( HPS_ENET_TX_EN ),
.hps_hps_io_emac1_inst_RX_CLK ( HPS_ENET_RX_CLK ),
.hps_hps_io_emac1_inst_RXD1 ( HPS_ENET_RX_DATA[1] ),
.hps_hps_io_emac1_inst_RXD2 ( HPS_ENET_RX_DATA[2] ),
.hps_hps_io_emac1_inst_RXD3 ( HPS_ENET_RX_DATA[3] ),
.hps_hps_io_sdio_inst_CMD ( HPS_SD_CMD ),
.hps_hps_io_sdio_inst_D0 ( HPS_SD_DATA[0] ),
.hps_hps_io_sdio_inst_D1 ( HPS_SD_DATA[1] ),
.hps_hps_io_sdio_inst_CLK ( HPS_SD_CLK ),
.hps_hps_io_sdio_inst_D2 ( HPS_SD_DATA[2] ),
.hps_hps_io_sdio_inst_D3 ( HPS_SD_DATA[3] ),
.hps_hps_io_usb1_inst_D0 ( HPS_USB_DATA[0] ),
.hps_hps_io_usb1_inst_D1 ( HPS_USB_DATA[1] ),
.hps_hps_io_usb1_inst_D2 ( HPS_USB_DATA[2] ),
.hps_hps_io_usb1_inst_D3 ( HPS_USB_DATA[3] ),
.hps_hps_io_usb1_inst_D4 ( HPS_USB_DATA[4] ),
.hps_hps_io_usb1_inst_D5 ( HPS_USB_DATA[5] ),
.hps_hps_io_usb1_inst_D6 ( HPS_USB_DATA[6] ),
.hps_hps_io_usb1_inst_D7 ( HPS_USB_DATA[7] ),
.hps_hps_io_usb1_inst_CLK ( HPS_USB_CLKOUT ),
.hps_hps_io_usb1_inst_STP ( HPS_USB_STP ),
.hps_hps_io_usb1_inst_DIR ( HPS_USB_DIR ),
.hps_hps_io_usb1_inst_NXT ( HPS_USB_NXT ),
.hps_hps_io_spim1_inst_CLK ( HPS_SPIM_CLK ),
.hps_hps_io_spim1_inst_MOSI ( HPS_SPIM_MOSI ),
.hps_hps_io_spim1_inst_MISO ( HPS_SPIM_MISO ),
.hps_hps_io_spim1_inst_SS0 ( HPS_SPIM_SS ),
.hps_hps_io_uart0_inst_RX ( HPS_UART_RX ),
.hps_hps_io_uart0_inst_TX ( HPS_UART_TX ),
.hps_hps_io_i2c0_inst_SDA ( HPS_I2C1_SDAT ),
.hps_hps_io_i2c0_inst_SCL ( HPS_I2C1_SCLK ),
.hps_hps_io_i2c1_inst_SDA ( HPS_I2C2_SDAT ),
.hps_hps_io_i2c1_inst_SCL ( HPS_I2C2_SCLK ),
.hps_hps_io_gpio_inst_GPIO09 ( HPS_CONV_USB_N ),
.hps_hps_io_gpio_inst_GPIO35 ( HPS_ENET_INT_N ),
.hps_hps_io_gpio_inst_GPIO40 ( HPS_LTC_GPIO ),
.hps_hps_io_gpio_inst_GPIO48 ( HPS_I2C_CONTROL ),
.hps_hps_io_gpio_inst_GPIO53 ( HPS_LED ),
.hps_hps_io_gpio_inst_GPIO54 ( HPS_KEY ),
.hps_hps_io_gpio_inst_GPIO61 ( HPS_GSENSOR_INT ),
.vga_r (VGA_R),
.vga_g (VGA_G),
.vga_b (VGA_B),
.vga_clk (VGA_CLK),
.vga_hs (VGA_HS),
.vga_vs (VGA_VS),
.vga_blank_n (VGA_BLANK_N),
.vga_sync_n (VGA_SYNC_N),
.ps2_clk(PS2_CLK),
.ps2_dat(PS2_DAT),
.key_key(KEY),
.audio_0_avalon_left_channel_sink_data (aud_sample_data),
.audio_0_avalon_left_channel_sink_valid (aud_sample_valid),
.audio_0_avalon_left_channel_sink_ready (aud_left_chan),
.audio_0_avalon_right_channel_sink_data (aud_sample_data),
.audio_0_avalon_right_channel_sink_valid (aud_sample_valid),
.audio_0_avalon_right_channel_sink_ready (aud_right_chan),
.audio_0_external_interface_BCLK (AUD_BCLK),
.audio_0_external_interface_DACDAT (AUD_DACDAT),
.audio_0_external_interface_DACLRCK (AUD_DACLRCK),
.audio_pll_0_audio_clk_clk (AUD_XCK),
.audio_and_video_config_0_external_interface_SDAT (FPGA_I2C_SDAT),
.audio_and_video_config_0_external_interface_SCLK (FPGA_I2C_SCLK)
);
// The following quiet the "no driver" warnings for output
// pins and should be removed if you use any of these peripherals
assign ADC_CS_N = SW[1] ? SW[0] : 1'bZ;
assign ADC_DIN = SW[0];
assign ADC_SCLK = SW[0];
//assign AUD_ADCLRCK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_BCLK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_DACDAT = SW[0];
//assign AUD_DACLRCK = SW[1] ? SW[0] : 1'bZ;
//assign AUD_XCK = SW[0];
assign DRAM_ADDR = { 13{ SW[0] } };
assign DRAM_BA = { 2{ SW[0] } };
assign DRAM_DQ = SW[1] ? { 16{ SW[0] } } : 16'bZ;
assign {DRAM_CAS_N, DRAM_CKE, DRAM_CLK, DRAM_CS_N,
DRAM_LDQM, DRAM_RAS_N, DRAM_UDQM, DRAM_WE_N} = { 8{SW[0]} };
assign FAN_CTRL = SW[0];
assign FPGA_I2C_SCLK = SW[0];
assign FPGA_I2C_SDAT = SW[1] ? SW[0] : 1'bZ;
assign GPIO_0 = SW[1] ? { 36{ SW[0] } } : 36'bZ;
assign GPIO_1 = SW[1] ? { 36{ SW[0] } } : 36'bZ;
assign HEX0 = { 7{ SW[1] } };
assign HEX1 = { 7{ SW[2] } };
assign HEX2 = { 7{ SW[3] } };
assign HEX3 = { 7{ SW[4] } };
assign HEX4 = { 7{ SW[5] } };
assign HEX5 = { 7{ SW[6] } };
// assign IRDA_TXD = SW[0];
assign LEDR = { 10{SW[7]} };
// assign PS2_CLK = SW[1] ? SW[0] : 1'bZ;
assign PS2_CLK2 = SW[1] ? SW[0] : 1'bZ;
// assign PS2_DAT = SW[1] ? SW[0] : 1'bZ;
assign PS2_DAT2 = SW[1] ? SW[0] : 1'bZ;
// assign TD_RESET_N = SW[0];
//assign {VGA_R, VGA_G, VGA_B} = { 24{ SW[0] } };
//assign {VGA_BLANK_N, VGA_CLK,
//VGA_HS, VGA_SYNC_N, VGA_VS} = { 5{ SW[0] } };
endmodule
embedded system/hardware/testbench.sv 0100777 0000000 0000000 00000001130 13466631501 015200 0 ustar 00 module testbench();
logic clk;
logic reset;
logic read;
logic write;
logic chipselect;
logic [7:0] address;
logic PS2_CLK;
logic PS2_DAT;
logic [15:0] readdata;
logic [15:0] writedata;
vga_ball test(.*);
initial begin
clk = 0;
forever #10ns clk = ~clk;
end
initial begin
PS2_CLK = 1;
PS2_DAT = 0;
reset = 1;
repeat (2)
@(posedge clk);
reset = 0;
repeat (2)
@(posedge clk);
chipselect = 1'd1;
write = 1'd1;
address = 8'd174;
writedata = 16'd0;
read = 1'd0;
repeat (7)
@(posedge clk);
end
endmodule
embedded system/hardware/tonegen.sv 0100777 0000000 0000000 00000004020 13466631501 014661 0 ustar 00 module tonegen (
input clk, // 50MHz
input reset,
input logic [15:0] writedata,
input logic write,
input logic [7:0] address,
input chipselect,
input left_chan_ready,
input right_chan_ready,
output logic [15:0] sample_data_l,
output logic sample_valid_l,
output logic [15:0] sample_data_r,
output logic sample_valid_r
);
reg [11:0] counter;
reg state;
reg control;
//reg c_clk;
//reg [18:0] count;
reg [16:0] address0;
wire [15:0] q0;
background audio0(.address(address0), .clock(clk), .q(q0)); //40000
reg [14:0] address1;
wire [15:0] q1;
shoot audio1(.address(address1), .clock(clk), .q(q1));//4978
/*always_ff @(posedge clk) begin
if(reset) count <= 0;
else begin
count <= count + 1;
end
end
assign c_clk = count[18]; // overflows at 200Hz*/
always_ff @(posedge clk) begin
if(reset) begin
counter <= 0;
sample_valid_l <= 0; sample_valid_r <= 0;
control <= 0;
state <= 0;
end
else if (chipselect && write) begin
case(address)
8'd231: control <= writedata[0];
endcase
end
else if(left_chan_ready == 1 && right_chan_ready == 1 && counter < 3125) begin
counter <= counter + 1;
sample_valid_l <= 0; sample_valid_r <= 0;
end
else if(left_chan_ready == 1 && right_chan_ready == 1 && counter == 3125) begin
//if(counter == 3125) begin
counter <= 0;
sample_data_l <= q0;
sample_data_r <= q0;
//sample_data_l <= (c_clk << 14);
//sample_data_r <= (c_clk << 14);
address0 <= address0 + 1;
sample_valid_l <= 1; sample_valid_r <= 1;
if(address0 == 40000) begin
address0 <= 0;
end
if(control == 1) begin
address1 <= 0;
state <= 1;
end
if(state) begin
control <= 0;
address1 <= address1 + 1;
sample_data_l <= (q0>>1) + (q1>>1);
sample_data_r <= (q0>>1) + (q1>>1);
if(address1 <= 4978) begin
address1 <= 0;
state <= 0;
end
end
end
else begin
sample_valid_l <= 0; sample_valid_r <= 0;
end
//end
end
endmodule
embedded system/hardware/tonegen.sv~ 0100777 0000000 0000000 00000004020 13466631501 015057 0 ustar 00 module tonegen (
input clk, // 50MHz
input reset,
input logic [15:0] writedata,
input logic write,
input logic [7:0] address,
input chipselect,
input left_chan_ready,
input right_chan_ready,
output logic [15:0] sample_data_l,
output logic sample_valid_l,
output logic [15:0] sample_data_r,
output logic sample_valid_r
);
reg [11:0] counter;
reg state;
reg control;
//reg c_clk;
//reg [18:0] count;
reg [16:0] address0;
wire [15:0] q0;
background audio0(.address(address0), .clock(clk), .q(q0)); //40000
reg [14:0] address1;
wire [15:0] q1;
shoot audio1(.address(address1), .clock(clk), .q(q1));//4978
/*always_ff @(posedge clk) begin
if(reset) count <= 0;
else begin
count <= count + 1;
end
end
assign c_clk = count[18]; // overflows at 200Hz*/
always_ff @(posedge clk) begin
if(reset) begin
counter <= 0;
sample_valid_l <= 0; sample_valid_r <= 0;
control <= 0;
state <= 0;
end
else if (chipselect && write) begin
case(address)
8'd231: control <= writedata[0];
endcase
end
else if(left_chan_ready == 1 && right_chan_ready == 1 && counter < 3125) begin
counter <= counter + 1;
sample_valid_l <= 0; sample_valid_r <= 0;
end
else if(left_chan_ready == 1 && right_chan_ready == 1 && counter == 3125) begin
//if(counter == 3125) begin
counter <= 0;
sample_data_l <= q0;
sample_data_r <= q0;
//sample_data_l <= (c_clk << 14);
//sample_data_r <= (c_clk << 14);
address0 <= address0 + 1;
sample_valid_l <= 1; sample_valid_r <= 1;
if(address0 == 40000) begin
address0 <= 0;
end
if(control == 1) begin
address1 <= 0;
state <= 1;
end
if(state) begin
control <= 0;
address1 <= address1 + 1;
sample_data_l <= (q0>>1) + (q1>>1);
sample_data_r <= (q0>>1) + (q1>>1);
if(address1 <= 4978) begin
address1 <= 0;
state <= 0;
end
end
end
else begin
sample_valid_l <= 0; sample_valid_r <= 0;
end
//end
end
endmodule
embedded system/hardware/tonegen_hw.tcl 0100777 0000000 0000000 00000014425 13466631501 015523 0 ustar 00 # TCL File Generated by Component Editor 18.1
# Thu May 09 14:58:10 EDT 2019
# DO NOT MODIFY
#
# tonegen "tonegen" v1.0
# 2019.05.09.14:58:10
#
#
#
# request TCL package from ACDS 16.1
#
package require -exact qsys 16.1
#
# module tonegen
#
set_module_property DESCRIPTION ""
set_module_property NAME tonegen
set_module_property VERSION 1.0
set_module_property INTERNAL false
set_module_property OPAQUE_ADDRESS_MAP true
set_module_property AUTHOR ""
set_module_property DISPLAY_NAME tonegen
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
set_module_property EDITABLE true
set_module_property REPORT_TO_TALKBACK false
set_module_property ALLOW_GREYBOX_GENERATION false
set_module_property REPORT_HIERARCHY false
#
# file sets
#
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
set_fileset_property QUARTUS_SYNTH TOP_LEVEL tonegen
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
add_fileset_file tonegen.sv SYSTEM_VERILOG PATH tonegen.sv TOP_LEVEL_FILE
#
# parameters
#
#
# module assignments
#
set_module_assignment embeddedsw.dts.group audio
set_module_assignment embeddedsw.dts.name tonegen
set_module_assignment embeddedsw.dts.vendor csee4840
#
# display items
#
#
# connection point clock
#
add_interface clock clock end
set_interface_property clock clockRate 0
set_interface_property clock ENABLED true
set_interface_property clock EXPORT_OF ""
set_interface_property clock PORT_NAME_MAP ""
set_interface_property clock CMSIS_SVD_VARIABLES ""
set_interface_property clock SVD_ADDRESS_GROUP ""
add_interface_port clock clk clk Input 1
#
# connection point reset
#
add_interface reset reset end
set_interface_property reset associatedClock clock
set_interface_property reset synchronousEdges DEASSERT
set_interface_property reset ENABLED true
set_interface_property reset EXPORT_OF ""
set_interface_property reset PORT_NAME_MAP ""
set_interface_property reset CMSIS_SVD_VARIABLES ""
set_interface_property reset SVD_ADDRESS_GROUP ""
add_interface_port reset reset reset Input 1
#
# connection point avalon_slave_0
#
add_interface avalon_slave_0 avalon end
set_interface_property avalon_slave_0 addressUnits WORDS
set_interface_property avalon_slave_0 associatedClock clock
set_interface_property avalon_slave_0 associatedReset reset
set_interface_property avalon_slave_0 bitsPerSymbol 8
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
set_interface_property avalon_slave_0 burstcountUnits WORDS
set_interface_property avalon_slave_0 explicitAddressSpan 0
set_interface_property avalon_slave_0 holdTime 0
set_interface_property avalon_slave_0 linewrapBursts false
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
set_interface_property avalon_slave_0 maximumPendingWriteTransactions 0
set_interface_property avalon_slave_0 readLatency 0
set_interface_property avalon_slave_0 readWaitTime 1
set_interface_property avalon_slave_0 setupTime 0
set_interface_property avalon_slave_0 timingUnits Cycles
set_interface_property avalon_slave_0 writeWaitTime 0
set_interface_property avalon_slave_0 ENABLED true
set_interface_property avalon_slave_0 EXPORT_OF ""
set_interface_property avalon_slave_0 PORT_NAME_MAP ""
set_interface_property avalon_slave_0 CMSIS_SVD_VARIABLES ""
set_interface_property avalon_slave_0 SVD_ADDRESS_GROUP ""
add_interface_port avalon_slave_0 writedata writedata Input 16
add_interface_port avalon_slave_0 write write Input 1
add_interface_port avalon_slave_0 address address Input 8
add_interface_port avalon_slave_0 chipselect chipselect Input 1
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isFlash 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isMemoryDevice 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isNonVolatileStorage 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isPrintableDevice 0
#
# connection point avalon_streaming_source_left
#
add_interface avalon_streaming_source_left avalon_streaming start
set_interface_property avalon_streaming_source_left associatedClock clock
set_interface_property avalon_streaming_source_left associatedReset reset
set_interface_property avalon_streaming_source_left dataBitsPerSymbol 8
set_interface_property avalon_streaming_source_left errorDescriptor ""
set_interface_property avalon_streaming_source_left firstSymbolInHighOrderBits true
set_interface_property avalon_streaming_source_left maxChannel 0
set_interface_property avalon_streaming_source_left readyLatency 0
set_interface_property avalon_streaming_source_left ENABLED true
set_interface_property avalon_streaming_source_left EXPORT_OF ""
set_interface_property avalon_streaming_source_left PORT_NAME_MAP ""
set_interface_property avalon_streaming_source_left CMSIS_SVD_VARIABLES ""
set_interface_property avalon_streaming_source_left SVD_ADDRESS_GROUP ""
add_interface_port avalon_streaming_source_left left_chan_ready ready Input 1
add_interface_port avalon_streaming_source_left sample_data_l data Output 16
add_interface_port avalon_streaming_source_left sample_valid_l valid Output 1
#
# connection point avalon_streaming_source_right
#
add_interface avalon_streaming_source_right avalon_streaming start
set_interface_property avalon_streaming_source_right associatedClock clock
set_interface_property avalon_streaming_source_right associatedReset reset
set_interface_property avalon_streaming_source_right dataBitsPerSymbol 8
set_interface_property avalon_streaming_source_right errorDescriptor ""
set_interface_property avalon_streaming_source_right firstSymbolInHighOrderBits true
set_interface_property avalon_streaming_source_right maxChannel 0
set_interface_property avalon_streaming_source_right readyLatency 0
set_interface_property avalon_streaming_source_right ENABLED true
set_interface_property avalon_streaming_source_right EXPORT_OF ""
set_interface_property avalon_streaming_source_right PORT_NAME_MAP ""
set_interface_property avalon_streaming_source_right CMSIS_SVD_VARIABLES ""
set_interface_property avalon_streaming_source_right SVD_ADDRESS_GROUP ""
add_interface_port avalon_streaming_source_right right_chan_ready ready Input 1
add_interface_port avalon_streaming_source_right sample_data_r data Output 16
add_interface_port avalon_streaming_source_right sample_valid_r valid Output 1
embedded system/hardware/tonegen_hw.tcl~ 0100777 0000000 0000000 00000010757 13466631501 015725 0 ustar 00 # TCL File Generated by Component Editor 18.1
# Thu May 09 13:06:34 EDT 2019
# DO NOT MODIFY
#
# tonegen "tonegen" v1.0
# 2019.05.09.13:06:34
#
#
#
# request TCL package from ACDS 16.1
#
package require -exact qsys 16.1
#
# module tonegen
#
set_module_property DESCRIPTION ""
set_module_property NAME tonegen
set_module_property VERSION 1.0
set_module_property INTERNAL false
set_module_property OPAQUE_ADDRESS_MAP true
set_module_property AUTHOR ""
set_module_property DISPLAY_NAME tonegen
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
set_module_property EDITABLE true
set_module_property REPORT_TO_TALKBACK false
set_module_property ALLOW_GREYBOX_GENERATION false
set_module_property REPORT_HIERARCHY false
#
# file sets
#
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
set_fileset_property QUARTUS_SYNTH TOP_LEVEL tonegen
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
add_fileset_file tonegen.sv SYSTEM_VERILOG PATH tonegen.sv TOP_LEVEL_FILE
#
# parameters
#
#
# module assignmetns?
#
set_module_assignment embeddedsw.dts.group audio
set_module_assignment embeddedsw.dts.name tonegen
set_module_assignment embeddedsw.dts.vendor csee4840
#
# display items
#
#
# connection point clock
#
add_interface clock clock end
set_interface_property clock clockRate 0
set_interface_property clock ENABLED true
set_interface_property clock EXPORT_OF ""
set_interface_property clock PORT_NAME_MAP ""
set_interface_property clock CMSIS_SVD_VARIABLES ""
set_interface_property clock SVD_ADDRESS_GROUP ""
add_interface_port clock clk clk Input 1
#
# connection point reset
#
add_interface reset reset end
set_interface_property reset associatedClock clock
set_interface_property reset synchronousEdges DEASSERT
set_interface_property reset ENABLED true
set_interface_property reset EXPORT_OF ""
set_interface_property reset PORT_NAME_MAP ""
set_interface_property reset CMSIS_SVD_VARIABLES ""
set_interface_property reset SVD_ADDRESS_GROUP ""
add_interface_port reset reset reset Input 1
#
# connection point avalon_slave_0
#
add_interface avalon_slave_0 avalon end
set_interface_property avalon_slave_0 addressUnits WORDS
set_interface_property avalon_slave_0 associatedClock clock
set_interface_property avalon_slave_0 associatedReset reset
set_interface_property avalon_slave_0 bitsPerSymbol 8
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
set_interface_property avalon_slave_0 burstcountUnits WORDS
set_interface_property avalon_slave_0 explicitAddressSpan 0
set_interface_property avalon_slave_0 holdTime 0
set_interface_property avalon_slave_0 linewrapBursts false
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
set_interface_property avalon_slave_0 maximumPendingWriteTransactions 0
set_interface_property avalon_slave_0 readLatency 0
set_interface_property avalon_slave_0 readWaitTime 1
set_interface_property avalon_slave_0 setupTime 0
set_interface_property avalon_slave_0 timingUnits Cycles
set_interface_property avalon_slave_0 writeWaitTime 0
set_interface_property avalon_slave_0 ENABLED true
set_interface_property avalon_slave_0 EXPORT_OF ""
set_interface_property avalon_slave_0 PORT_NAME_MAP ""
set_interface_property avalon_slave_0 CMSIS_SVD_VARIABLES ""
set_interface_property avalon_slave_0 SVD_ADDRESS_GROUP ""
add_interface_port avalon_slave_0 writedata writedata Input 16
add_interface_port avalon_slave_0 write write Input 1
add_interface_port avalon_slave_0 address address Input 8
add_interface_port avalon_slave_0 chipselect chipselect Input 1
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isFlash 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isMemoryDevice 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isNonVolatileStorage 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isPrintableDevice 0
#
# connection point aud
#
add_interface aud conduit end
set_interface_property aud associatedClock clock
set_interface_property aud associatedReset ""
set_interface_property aud ENABLED true
set_interface_property aud EXPORT_OF ""
set_interface_property aud PORT_NAME_MAP ""
set_interface_property aud CMSIS_SVD_VARIABLES ""
set_interface_property aud SVD_ADDRESS_GROUP ""
add_interface_port aud left_chan_ready left_chan Input 1
add_interface_port aud right_chan_ready right_chan Input 1
add_interface_port aud sample_data sample_data Output 16
add_interface_port aud sample_valid sample_valid Output 1
embedded system/hardware/top_level.sv 0100777 0000000 0000000 00000002705 13466631501 015223 0 ustar 00
module top_level(input logic clk,
input logic reset,
input logic [15:0] writedata,
input logic write,
input chipselect,
input logic [7:0] address,
input logic read,
inout PS2_CLK,
inout PS2_DAT,
input left_chan_ready,
input right_chan_ready,
output logic [15:0] sample_data,
output logic sample_valid,
input [3:0] KEY,
output logic [15:0] readdata,
output logic [7:0] VGA_R, VGA_G, VGA_B,
output logic VGA_CLK, VGA_HS, VGA_VS,
VGA_BLANK_n,
output logic VGA_SYNC_n
);
vga_ball vga(
.clk(clk),
.reset(reset),
.writedata(writedata),
.write(write),
.chipselect(chipselect),
.address(address),
.VGA_R(VGA_R),
.VGA_G(VGA_G),
.VGA_B(VGA_B),
.VGA_CLK(VGA_CLK),
.VGA_HS(VGA_HS),
.VGA_VS(VGA_VS),
.VGA_BLANK_n(VGA_BLANK_n),
.VGA_SYNC_n(VGA_SYNC_n)
);
ps2_mouse mouse(
.clk(clk),
.reset(reset),
.read(read),
.write(write),
.chipselect(chipselect),
.address(address),
.PS2_CLK(PS2_CLK),
.PS2_DAT(PS2_DAT),
.readdata(readdata),
.writedata(writedata)
);
/* tonegen tg(
.clk(clk),
.reset(~KEY[0]),
.writedata(writedata),
.write(write),
.address(address),
.chipselect(chipselect),
.left_chan_ready(left_chan_ready),
.right_chan_ready(right_chan_ready),
.sample_data(sample_data),
.sample_valid(sample_valid));*/
endmodule
embedded system/hardware/top_level.sv~ 0100777 0000000 0000000 00000002701 13466631501 015415 0 ustar 00
module top_level(input logic clk,
input logic reset,
input logic [15:0] writedata,
input logic write,
input chipselect,
input logic [7:0] address,
input logic read,
inout PS2_CLK,
inout PS2_DAT,
input left_chan_ready,
input right_chan_ready,
output logic [15:0] sample_data,
output logic sample_valid,
input [3:0] KEY,
output logic [15:0] readdata,
output logic [7:0] VGA_R, VGA_G, VGA_B,
output logic VGA_CLK, VGA_HS, VGA_VS,
VGA_BLANK_n,
output logic VGA_SYNC_n
);
vga_ball vga(
.clk(clk),
.reset(reset),
.writedata(writedata),
.write(write),
.chipselect(chipselect),
.address(address),
.VGA_R(VGA_R),
.VGA_G(VGA_G),
.VGA_B(VGA_B),
.VGA_CLK(VGA_CLK),
.VGA_HS(VGA_HS),
.VGA_VS(VGA_VS),
.VGA_BLANK_n(VGA_BLANK_n),
.VGA_SYNC_n(VGA_SYNC_n)
);
ps2_mouse mouse(
.clk(clk),
.reset(reset),
.read(read),
.write(write),
.chipselect(chipselect),
.address(address),
.PS2_CLK(PS2_CLK),
.PS2_DAT(PS2_DAT),
.readdata(readdata),
.writedata(writedata)
);
tonegen tg(
.clk(clk),
.reset(~KEY[0]),
.writedata(writedata),
.write(write),
.address(address),
.chipselect(chipselect),
.left_chan_ready(left_chan_ready),
.right_chan_ready(right_chan_ready),
.sample_data(sample_data),
.sample_valid(sample_valid));
endmodule
embedded system/hardware/vga_ball.sv 0100777 0000000 0000000 00000115036 13466631501 015003 0 ustar 00 /*
* Avalon memory-mapped peripheral that generates VGA
*
* Stephen A. Edwards
* Columbia University
*/
module vga_ball(input logic clk,
input logic reset,
input logic [15:0] writedata,
input logic write,
input chipselect,
input logic [7:0] address,
output logic [7:0] VGA_R, VGA_G, VGA_B,
output logic VGA_CLK, VGA_HS, VGA_VS,
VGA_BLANK_n,
output logic VGA_SYNC_n);
logic [10:0] hcount;
logic [9:0] vcount;
logic [24:0] counter;
logic [15:0] mushroom_out [0:15];
logic [15:0] mushroom_in [0:15];
logic [15:0] centipede_head_lef [0:15], centipede_head_dow [0:15];
logic [0:15] centipede_head_rig [0:15], centipede_eye_rig [0:15];
logic [15:0] centipede_eye_lef[0:15], centipede_eye_dow[0:15];
logic [15:0] centipede_feetone [0:15],centipede_feettwo [0:15],centipede_feetone_dow [0:15],centipede_feettwo_dow [0:15];
logic [15:0] bullet_figure [0:15];
logic [15:0] player_body [0:15];
logic [15:0] player_eye [0:15];
logic [15:0] spider_body [0:15];
logic [15:0] spider_eye [0:15];
logic [15:0] spider_legone [0:15];
logic [15:0] spider_legtwo [0:15];
logic [0:15] number_zero[0:15], number_one[0:15], number_two[0:15],number_three[0:15],number_four[0:15];
logic [0:15] number_five[0:15],number_six[0:15],number_seven[0:15],number_eight[0:15],number_nine[0:15];
logic [47:0] mushroom_state [0:29];
logic [15:0] centipede_position_x[0:9], centipede_position_y[0:9], b_position_x[0:29], b_position_y[0:29];
logic [15:0] p_position_x, p_position_y, s_position_x, s_position_y;
logic [3:0] title_state [0:39];
logic [2:0] centipede_state[0:9];
vga_counters counters(.clk50(clk), .*);
moving_counters counterstwo(.clk50(clk), .*);
always_ff @(posedge clk)
if (reset) begin
// initialization
// Todo
//mushroom_state[0][0] <= 1'b1;
mushroom_state[5][8] <= 1'b1;
mushroom_state[6][6] <= 1'b1;
mushroom_state[10][7] <= 1'b1;
mushroom_state[13][4] <= 1'b1;
mushroom_state[5][10] <= 1'b1;
mushroom_state[29][39] <= 1'b1;
mushroom_state[0][0] <= 1'b1;
centipede_position_x[0][15:0] <= 16'd20;
centipede_position_y[0][15:0] <= 16'd20;
centipede_state[0][2:0]<= 8'd 0;
centipede_position_x[1][15:0] <= 16'd40;
centipede_position_y[1][15:0] <= 16'd20;
centipede_state[1][2:0]<= 8'd 1;
centipede_position_x[2][15:0] <= 16'd60;
centipede_position_y[2][15:0] <= 16'd20;
centipede_state[2][2:0]<= 8'd 2;
centipede_position_x[3][15:0] <= 16'd80;
centipede_position_y[3][15:0] <= 16'd20;
centipede_state[3][2:0]<= 8'd 3;
centipede_position_x[4][15:0] <= 16'd100;
centipede_position_y[4][15:0] <= 16'd20;
centipede_state[4][2:0]<= 8'd 4;
centipede_position_x[5][15:0] <= 16'd120;
centipede_position_y[5][15:0] <= 16'd20;
centipede_state[5][2:0]<= 8'd 5;
centipede_position_x[6][15:0] <= 16'd140;
centipede_position_y[6][15:0] <= 16'd20;
centipede_state[6][2:0]<= 8'd 6;
s_position_x[15:0] <= 16'b0000000000011111;
s_position_y[15:0] <= 16'b0000000000011111;
p_position_x[15:0] <= 16'b0000000100011111;
p_position_y[15:0] <= 16'b0010000000011111;
b_position_x[29][15:0] <= 16'b0000000001111111;
b_position_y[29][15:0] <= 16'b0000000001111111;
title_state[0][3:0] <= 4'd 0;
title_state[1][3:0] <= 4'd 0;
title_state[2][3:0] <= 4'd 0;
title_state[3][3:0] <= 4'd 1;
title_state[4][3:0] <= 4'd 1;
title_state[5][3:0] <= 4'd 10;
title_state[6][3:0] <= 4'd 10;
title_state[7][3:0] <= 4'd 10;
for(int i = 8; i < 14 ; i++) title_state[i][3:0] <=4'd 11;
title_state[14][3:0] <= 4'd 0;
title_state[15][3:0] <= 4'd 1;
title_state[16][3:0] <= 4'd 2;
title_state[17][3:0] <= 4'd 3;
title_state[18][3:0] <= 4'd 4;
title_state[19][3:0] <= 4'd 5;
title_state[20][3:0] <= 4'd 6;
title_state[21][3:0] <= 4'd 7;
title_state[22][3:0] <= 4'd 8;
title_state[23][3:0] <= 4'd 9;
for( int j = 24; j < 40; j++) title_state[j][3:0] <=4'd 11;
// mushroom outline object
mushroom_out[0][15:0] <= 16'b0000111111110000;
mushroom_out[1][15:0] <= 16'b0000111111110000;
mushroom_out[2][15:0] <= 16'b0011000000001100;
mushroom_out[3][15:0] <= 16'b0011000000001100;
mushroom_out[4][15:0] <= 16'b1100000000000011;
mushroom_out[5][15:0] <= 16'b1100000000000011;
mushroom_out[6][15:0] <= 16'b1100000000000011;
mushroom_out[7][15:0] <= 16'b1100000000000011;
mushroom_out[8][15:0] <= 16'b1111111111111111;
mushroom_out[9][15:0] <= 16'b1111111111111111;
mushroom_out[10][15:0] <= 16'b0000110000110000;
mushroom_out[11][15:0] <= 16'b0000110000110000;
mushroom_out[12][15:0] <= 16'b0000110000110000;
mushroom_out[13][15:0] <= 16'b0000110000110000;
mushroom_out[14][15:0] <= 16'b0000111111110000;
mushroom_out[15][15:0] <= 16'b0000111111110000;
// mushroom inner object
mushroom_in[0][15:0] <= 16'b0000000000000000;
mushroom_in[1][15:0] <= 16'b0000000000000000;
mushroom_in[2][15:0] <= 16'b0000111111110000;
mushroom_in[3][15:0] <= 16'b0000111111110000;
mushroom_in[4][15:0] <= 16'b0011111111111100;
mushroom_in[5][15:0] <= 16'b0011111111111100;
mushroom_in[6][15:0] <= 16'b0011111111111100;
mushroom_in[7][15:0] <= 16'b0011111111111100;
mushroom_in[8][15:0] <= 16'b0000000000000000;
mushroom_in[9][15:0] <= 16'b0000000000000000;
mushroom_in[10][15:0] <= 16'b0000001111000000;
mushroom_in[11][15:0] <= 16'b0000001111000000;
mushroom_in[12][15:0] <= 16'b0000001111000000;
mushroom_in[13][15:0] <= 16'b0000001111000000;
mushroom_in[14][15:0] <= 16'b0000000000000000;
mushroom_in[15][15:0] <= 16'b0000000000000000;
//centipede object
centipede_head_lef[0][15:0] <= 16'b0000000000000000;
centipede_head_lef[1][15:0] <= 16'b0000000000000000;
centipede_head_lef[2][15:0] <= 16'b0000001111000000;
centipede_head_lef[3][15:0] <= 16'b0000001111000000;
centipede_head_lef[4][15:0] <= 16'b0000111111000011;
centipede_head_lef[5][15:0] <= 16'b0000111111000011;
centipede_head_lef[6][15:0] <= 16'b0011111111111111;
centipede_head_lef[7][15:0] <= 16'b0011111111111111;
centipede_head_lef[8][15:0] <= 16'b0011111111111111;
centipede_head_lef[9][15:0] <= 16'b0011111111111111;
centipede_head_lef[10][15:0] <= 16'b0000111111000011;
centipede_head_lef[11][15:0] <= 16'b0000111111000011;
centipede_head_lef[12][15:0] <= 16'b0000001111000000;
centipede_head_lef[13][15:0] <= 16'b0000001111000000;
centipede_head_lef[14][15:0] <= 16'b0000000000000000;
centipede_head_lef[15][15:0] <= 16'b0000000000000000;
//centipede_head_right
centipede_head_rig[0][0:15] <= 16'b0000000000000000;
centipede_head_rig[1][0:15] <= 16'b0000000000000000;
centipede_head_rig[2][0:15] <= 16'b0000001111000000;
centipede_head_rig[3][0:15] <= 16'b0000001111000000;
centipede_head_rig[4][0:15] <= 16'b0000111111000011;
centipede_head_rig[5][0:15] <= 16'b0000111111000011;
centipede_head_rig[6][0:15] <= 16'b0011111111111111;
centipede_head_rig[7][0:15] <= 16'b0011111111111111;
centipede_head_rig[8][0:15] <= 16'b0011111111111111;
centipede_head_rig[9][0:15] <= 16'b0011111111111111;
centipede_head_rig[10][0:15] <= 16'b0000111111000011;
centipede_head_rig[11][0:15] <= 16'b0000111111000011;
centipede_head_rig[12][0:15] <= 16'b0000001111000000;
centipede_head_rig[13][0:15] <= 16'b0000001111000000;
centipede_head_rig[14][0:15] <= 16'b0000000000000000;
centipede_head_rig[15][0:15] <= 16'b0000000000000000;
centipede_head_dow[0][15:0] <= 16'b0000000000000000;
centipede_head_dow[1][15:0] <= 16'b0000000000000000;
centipede_head_dow[2][15:0] <= 16'b0000001111000000;
centipede_head_dow[3][15:0] <= 16'b0000001111000000;
centipede_head_dow[4][15:0] <= 16'b0000111111110000;
centipede_head_dow[5][15:0] <= 16'b0000111111110000;
centipede_head_dow[6][15:0] <= 16'b0011111111111100;
centipede_head_dow[7][15:0] <= 16'b0011111111111100;
centipede_head_dow[8][15:0] <= 16'b0011111111111100;
centipede_head_dow[9][15:0] <= 16'b0011111111111100;
centipede_head_dow[10][15:0] <= 16'b0000001111000000;
centipede_head_dow[11][15:0] <= 16'b0000001111000000;
centipede_head_dow[12][15:0] <= 16'b0000001111000000;
centipede_head_dow[13][15:0] <= 16'b0000001111000000;
centipede_head_dow[14][15:0] <= 16'b0000111111110000;
centipede_head_dow[15][15:0] <= 16'b0000111111110000;
//centipede eye
centipede_eye_lef[0][15:0] <= 16'b0000000000000000;
centipede_eye_lef[1][15:0] <= 16'b0000000000000000;
centipede_eye_lef[2][15:0] <= 16'b0000000000111100;
centipede_eye_lef[3][15:0] <= 16'b0000000000111100;
centipede_eye_lef[4][15:0] <= 16'b0000000000111100;
centipede_eye_lef[5][15:0] <= 16'b0000000000111100;
centipede_eye_lef[6][15:0] <= 16'b0000000000000000;
centipede_eye_lef[7][15:0] <= 16'b0000000000000000;
centipede_eye_lef[8][15:0] <= 16'b0000000000000000;
centipede_eye_lef[9][15:0] <= 16'b0000000000000000;
centipede_eye_lef[10][15:0] <= 16'b0000000000111100;
centipede_eye_lef[11][15:0] <= 16'b0000000000111100;
centipede_eye_lef[12][15:0] <= 16'b0000000000111100;
centipede_eye_lef[13][15:0] <= 16'b0000000000111100;
centipede_eye_lef[14][15:0] <= 16'b0000000000000000;
centipede_eye_lef[15][15:0] <= 16'b0000000000000000;
centipede_eye_rig[0][0:15] <= 16'b0000000000000000;
centipede_eye_rig[1][0:15] <= 16'b0000000000000000;
centipede_eye_rig[2][0:15] <= 16'b0000000000111100;
centipede_eye_rig[3][0:15] <= 16'b0000000000111100;
centipede_eye_rig[4][0:15] <= 16'b0000000000111100;
centipede_eye_rig[5][0:15] <= 16'b0000000000111100;
centipede_eye_rig[6][0:15] <= 16'b0000000000000000;
centipede_eye_rig[7][0:15] <= 16'b0000000000000000;
centipede_eye_rig[8][0:15] <= 16'b0000000000000000;
centipede_eye_rig[9][0:15] <= 16'b0000000000000000;
centipede_eye_rig[10][0:15] <= 16'b0000000000111100;
centipede_eye_rig[11][0:15] <= 16'b0000000000111100;
centipede_eye_rig[12][0:15] <= 16'b0000000000111100;
centipede_eye_rig[13][0:15] <= 16'b0000000000111100;
centipede_eye_rig[14][0:15] <= 16'b0000000000000000;
centipede_eye_rig[15][0:15] <= 16'b0000000000000000;
centipede_eye_dow[0][15:0] <= 16'b0000000000000000;
centipede_eye_dow[1][15:0] <= 16'b0000000000000000;
centipede_eye_dow[2][15:0] <= 16'b0000000000000000;
centipede_eye_dow[3][15:0] <= 16'b0000000000000000;
centipede_eye_dow[4][15:0] <= 16'b0000000000000000;
centipede_eye_dow[5][15:0] <= 16'b0000000000000000;
centipede_eye_dow[6][15:0] <= 16'b0000000000000000;
centipede_eye_dow[7][15:0] <= 16'b0000000000000000;
centipede_eye_dow[8][15:0] <= 16'b0000000000000000;
centipede_eye_dow[9][15:0] <= 16'b0000000000000000;
centipede_eye_dow[10][15:0] <= 16'b0011110000111100;
centipede_eye_dow[11][15:0] <= 16'b0011110000111100;
centipede_eye_dow[12][15:0] <= 16'b0011110000111100;
centipede_eye_dow[13][15:0] <= 16'b0011110000111100;
centipede_eye_dow[14][15:0] <= 16'b0000000000000000;
centipede_eye_dow[15][15:0] <= 16'b0000000000000000;
//centipede feet1
centipede_feetone[0][15:0] <= 16'b0000000001100000;
centipede_feetone[1][15:0] <= 16'b0000000001100000;
centipede_feetone[2][15:0] <= 16'b0000000000000000;
centipede_feetone[3][15:0] <= 16'b0000000000000000;
centipede_feetone[4][15:0] <= 16'b0000000000000000;
centipede_feetone[5][15:0] <= 16'b0000000000000000;
centipede_feetone[6][15:0] <= 16'b0000000000000000;
centipede_feetone[7][15:0] <= 16'b0000000000000000;
centipede_feetone[8][15:0] <= 16'b0000000000000000;
centipede_feetone[9][15:0] <= 16'b0000000000000000;
centipede_feetone[10][15:0] <= 16'b0000000000000000;
centipede_feetone[11][15:0] <= 16'b0000000000000000;
centipede_feetone[12][15:0] <= 16'b0000000000000000;
centipede_feetone[13][15:0] <= 16'b0000000000000000;
centipede_feetone[14][15:0] <= 16'b0000000001100000;
centipede_feetone[15][15:0] <= 16'b0000000001100000;
centipede_feetone_dow[0][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[1][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[2][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[3][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[4][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[5][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[6][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[7][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[8][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[9][15:0] <= 16'b1100000000000011;
centipede_feetone_dow[10][15:0] <= 16'b1100000000000011;
centipede_feetone_dow[11][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[12][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[13][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[14][15:0] <= 16'b0000000000000000;
centipede_feetone_dow[15][15:0] <= 16'b0000000000000000;
//centipede feet2
centipede_feettwo[0][15:0] <= 16'b0000011000000000;
centipede_feettwo[1][15:0] <= 16'b0000011000000000;
centipede_feettwo[2][15:0] <= 16'b0000000000000000;
centipede_feettwo[3][15:0] <= 16'b0000000000000000;
centipede_feettwo[4][15:0] <= 16'b0000000000000000;
centipede_feettwo[5][15:0] <= 16'b0000000000000000;
centipede_feettwo[6][15:0] <= 16'b0000000000000000;
centipede_feettwo[7][15:0] <= 16'b0000000000000000;
centipede_feettwo[8][15:0] <= 16'b0000000000000000;
centipede_feettwo[9][15:0] <= 16'b0000000000000000;
centipede_feettwo[10][15:0] <= 16'b0000000000000000;
centipede_feettwo[11][15:0] <= 16'b0000000000000000;
centipede_feettwo[12][15:0] <= 16'b0000000000000000;
centipede_feettwo[13][15:0] <= 16'b0000000000000000;
centipede_feettwo[14][15:0] <= 16'b0000011000000000;
centipede_feettwo[15][15:0] <= 16'b0000011000000000;
centipede_feettwo_dow[0][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[1][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[2][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[3][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[4][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[5][15:0] <= 16'b1100000000000011;
centipede_feettwo_dow[6][15:0] <= 16'b1100000000000011;
centipede_feettwo_dow[7][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[8][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[9][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[10][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[11][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[12][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[13][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[14][15:0] <= 16'b0000000000000000;
centipede_feettwo_dow[15][15:0] <= 16'b0000000000000000;
//bullet object
bullet_figure[0][15:0] <= 16'b0000000110000000;
bullet_figure[1][15:0] <= 16'b0000000110000000;
bullet_figure[2][15:0] <= 16'b0000000110000000;
bullet_figure[3][15:0] <= 16'b0000000110000000;
bullet_figure[4][15:0] <= 16'b0000000110000000;
bullet_figure[5][15:0] <= 16'b0000000110000000;
bullet_figure[6][15:0] <= 16'b0000000110000000;
bullet_figure[7][15:0] <= 16'b0000000110000000;
bullet_figure[8][15:0] <= 16'b0000000110000000;
bullet_figure[9][15:0] <= 16'b0000000110000000;
bullet_figure[10][15:0] <= 16'b0000000110000000;
bullet_figure[11][15:0] <= 16'b0000000110000000;
bullet_figure[12][15:0] <= 16'b0000000110000000;
bullet_figure[13][15:0] <= 16'b0000000110000000;
bullet_figure[14][15:0] <= 16'b0000000110000000;
bullet_figure[15][15:0] <= 16'b0000000110000000;
//player body
player_body[0][15:0] <= 16'b0000000110000000;
player_body[1][15:0] <= 16'b0000000110000000;
player_body[2][15:0] <= 16'b0000011111100000;
player_body[3][15:0] <= 16'b0000011111100000;
player_body[4][15:0] <= 16'b0000000110000000;
player_body[5][15:0] <= 16'b0000000110000000;
player_body[6][15:0] <= 16'b0110000110000110;
player_body[7][15:0] <= 16'b0110000110000110;
player_body[8][15:0] <= 16'b0111111111111110;
player_body[9][15:0] <= 16'b0111111111111110;
player_body[10][15:0] <= 16'b0001111111111000;
player_body[11][15:0] <= 16'b0001111111111000;
player_body[12][15:0] <= 16'b0000011111100000;
player_body[13][15:0] <= 16'b0000011111100000;
player_body[14][15:0] <= 16'b0000011111100000;
player_body[15][15:0] <= 16'b0000011111100000;
//player eye
player_eye[0][15:0] <= 16'b0000000000000000;
player_eye[1][15:0] <= 16'b0000000000000000;
player_eye[2][15:0] <= 16'b0000000000000000;
player_eye[3][15:0] <= 16'b0000000000000000;
player_eye[4][15:0] <= 16'b0001111001111000;
player_eye[5][15:0] <= 16'b0001111001111000;
player_eye[6][15:0] <= 16'b0001111001111000;
player_eye[7][15:0] <= 16'b0001111001111000;
player_eye[8][15:0] <= 16'b0000000000000000;
player_eye[9][15:0] <= 16'b0000000000000000;
player_eye[10][15:0] <= 16'b0000000000000000;
player_eye[11][15:0] <= 16'b0000000000000000;
player_eye[12][15:0] <= 16'b0000000000000000;
player_eye[13][15:0] <= 16'b0000000000000000;
player_eye[14][15:0] <= 16'b0000000000000000;
player_eye[15][15:0] <= 16'b0000000000000000;
//spider body
spider_body[0][15:0] <= 16'b0000000000000000;
spider_body[1][15:0] <= 16'b0000000000000000;
spider_body[2][15:0] <= 16'b0000000000000000;
spider_body[3][15:0] <= 16'b0000000000000000;
spider_body[4][15:0] <= 16'b0000000000000000;
spider_body[5][15:0] <= 16'b0000000000000000;
spider_body[6][15:0] <= 16'b0000000000000000;
spider_body[7][15:0] <= 16'b0000000000000000;
spider_body[8][15:0] <= 16'b0000000000000000;
spider_body[9][15:0] <= 16'b0000000000000000;
spider_body[10][15:0] <= 16'b0000000100000000;
spider_body[11][15:0] <= 16'b0000000100000000;
spider_body[12][15:0] <= 16'b0000000100000000;
spider_body[13][15:0] <= 16'b0000011111000000;
spider_body[14][15:0] <= 16'b0000010001000000;
spider_body[15][15:0] <= 16'b0000001010000000;
//spider eye
spider_eye[0][15:0] <= 16'b0000000000000000;
spider_eye[1][15:0] <= 16'b0000000000000000;
spider_eye[2][15:0] <= 16'b0000000000000000;
spider_eye[3][15:0] <= 16'b0000000000000000;
spider_eye[4][15:0] <= 16'b0000000000000000;
spider_eye[5][15:0] <= 16'b0000000000000000;
spider_eye[6][15:0] <= 16'b0000000000000000;
spider_eye[7][15:0] <= 16'b0000000000000000;
spider_eye[8][15:0] <= 16'b0000000000000000;
spider_eye[9][15:0] <= 16'b0000000000000000;
spider_eye[10][15:0] <= 16'b0000000000000000;
spider_eye[11][15:0] <= 16'b0000001010000000;
spider_eye[12][15:0] <= 16'b0000011011000000;
spider_eye[13][15:0] <= 16'b0000000000000000;
spider_eye[14][15:0] <= 16'b0000001110000000;
spider_eye[15][15:0] <= 16'b0000000100000000;
//spider legone
spider_legone[0][15:0] <= 16'b0000000000000000;
spider_legone[1][15:0] <= 16'b0000000000000000;
spider_legone[2][15:0] <= 16'b0000000000000000;
spider_legone[3][15:0] <= 16'b0000000000000000;
spider_legone[4][15:0] <= 16'b0000000000000000;
spider_legone[5][15:0] <= 16'b0000000000000000;
spider_legone[6][15:0] <= 16'b0000000000000000;
spider_legone[7][15:0] <= 16'b0000000000000000;
spider_legone[8][15:0] <= 16'b0000000000000000;
spider_legone[9][15:0] <= 16'b0000000000000000;
spider_legone[10][15:0] <= 16'b0011100000111000;
spider_legone[11][15:0] <= 16'b0100010001000100;
spider_legone[12][15:0] <= 16'b1000000000000010;
spider_legone[13][15:0] <= 16'b0011000000011000;
spider_legone[14][15:0] <= 16'b0100100000100100;
spider_legone[15][15:0] <= 16'b1000000000000010;
//spider legtwo
spider_legtwo[0][15:0] <= 16'b0000000000000000;
spider_legtwo[1][15:0] <= 16'b0000000000000000;
spider_legtwo[2][15:0] <= 16'b0000000000000000;
spider_legtwo[3][15:0] <= 16'b0000000000000000;
spider_legtwo[4][15:0] <= 16'b0000000000000000;
spider_legtwo[5][15:0] <= 16'b0000000000000000;
spider_legtwo[6][15:0] <= 16'b0000000000000000;
spider_legtwo[7][15:0] <= 16'b0011000000011000;
spider_legtwo[8][15:0] <= 16'b0100100000100100;
spider_legtwo[9][15:0] <= 16'b0100100000100100;
spider_legtwo[10][15:0] <= 16'b1000010001000010;
spider_legtwo[11][15:0] <= 16'b1011010001011010;
spider_legtwo[12][15:0] <= 16'b0011000000011000;
spider_legtwo[13][15:0] <= 16'b0100100000100100;
spider_legtwo[14][15:0] <= 16'b1000100000100010;
spider_legtwo[15][15:0] <= 16'b0000000000000000;
//number0
number_zero[0][0:15] <= 16'b0000000000000000;
number_zero[1][0:15] <= 16'b0000000000000000;
number_zero[2][0:15] <= 16'b0000000000000000;
number_zero[3][0:15] <= 16'b0000011111100000;
number_zero[4][0:15] <= 16'b0000111111110000;
number_zero[5][0:15] <= 16'b0000110000110000;
number_zero[6][0:15] <= 16'b0000110000110000;
number_zero[7][0:15] <= 16'b0000110000110000;
number_zero[8][0:15] <= 16'b0000110000110000;
number_zero[9][0:15] <= 16'b0000110000110000;
number_zero[10][0:15] <= 16'b0000110000110000;
number_zero[11][0:15] <= 16'b0000111111110000;
number_zero[12][0:15] <= 16'b0000011111100000;
number_zero[13][0:15] <= 16'b0000000000000000;
number_zero[14][0:15] <= 16'b0000000000000000;
number_zero[15][0:15] <= 16'b0000000000000000;
//number1
number_one[0][0:15] <= 16'b0000000000000000;
number_one[1][0:15] <= 16'b0000000000000000;
number_one[2][0:15] <= 16'b0000000000000000;
number_one[3][0:15] <= 16'b0000000110000000;
number_one[4][0:15] <= 16'b0000001110000000;
number_one[5][0:15] <= 16'b0000011110000000;
number_one[6][0:15] <= 16'b0000000110000000;
number_one[7][0:15] <= 16'b0000000110000000;
number_one[8][0:15] <= 16'b0000000110000000;
number_one[9][0:15] <= 16'b0000000110000000;
number_one[10][0:15] <= 16'b0000000110000000;
number_one[11][0:15] <= 16'b0000011111100000;
number_one[12][0:15] <= 16'b0000011111100000;
number_one[13][0:15] <= 16'b0000000000000000;
number_one[14][0:15] <= 16'b0000000000000000;
number_one[15][0:15] <= 16'b0000000000000000;
//number2
number_two[0][0:15] <= 16'b0000000000000000;
number_two[1][0:15] <= 16'b0000000000000000;
number_two[2][0:15] <= 16'b0000000000000000;
number_two[3][0:15] <= 16'b0000011111100000;
number_two[4][0:15] <= 16'b0000111111110000;
number_two[5][0:15] <= 16'b0000110000110000;
number_two[6][0:15] <= 16'b0000000001110000;
number_two[7][0:15] <= 16'b0000000011100000;
number_two[8][0:15] <= 16'b0000000111000000;
number_two[9][0:15] <= 16'b0000001110000000;
number_two[10][0:15] <= 16'b0000011100000000;
number_two[11][0:15] <= 16'b0000111111110000;
number_two[12][0:15] <= 16'b0000111111110000;
number_two[13][0:15] <= 16'b0000000000000000;
number_two[14][0:15] <= 16'b0000000000000000;
number_two[15][0:15] <= 16'b0000000000000000;
//number3
number_three[0][0:15] <= 16'b0000000000000000;
number_three[1][0:15] <= 16'b0000000000000000;
number_three[2][0:15] <= 16'b0000000000000000;
number_three[3][0:15] <= 16'b0000011111100000;
number_three[4][0:15] <= 16'b0000111111110000;
number_three[5][0:15] <= 16'b0000110000110000;
number_three[6][0:15] <= 16'b0000000000110000;
number_three[7][0:15] <= 16'b0000000111100000;
number_three[8][0:15] <= 16'b0000000111100000;
number_three[9][0:15] <= 16'b0000000000110000;
number_three[10][0:15] <= 16'b0000110000110000;
number_three[11][0:15] <= 16'b0000111111110000;
number_three[12][0:15] <= 16'b0000011111100000;
number_three[13][0:15] <= 16'b0000000000000000;
number_three[14][0:15] <= 16'b0000000000000000;
number_three[15][0:15] <= 16'b0000000000000000;
//number4
number_four[0][0:15] <= 16'b0000000000000000;
number_four[1][0:15] <= 16'b0000000000000000;
number_four[2][0:15] <= 16'b0000000000000000;
number_four[3][0:15] <= 16'b0000000011100000;
number_four[4][0:15] <= 16'b0000000111100000;
number_four[5][0:15] <= 16'b0000001111100000;
number_four[6][0:15] <= 16'b0000011101100000;
number_four[7][0:15] <= 16'b0000111001100000;
number_four[8][0:15] <= 16'b0000110001100000;
number_four[9][0:15] <= 16'b0000111111110000;
number_four[10][0:15] <= 16'b0000111111110000;
number_four[11][0:15] <= 16'b0000000001100000;
number_four[12][0:15] <= 16'b0000000001100000;
number_four[13][0:15] <= 16'b0000000000000000;
number_four[14][0:15] <= 16'b0000000000000000;
number_four[15][0:15] <= 16'b0000000000000000;
//number5
number_five[0][0:15] <= 16'b0000000000000000;
number_five[1][0:15] <= 16'b0000000000000000;
number_five[2][0:15] <= 16'b0000000000000000;
number_five[3][0:15] <= 16'b0000111111110000;
number_five[4][0:15] <= 16'b0000111111110000;
number_five[5][0:15] <= 16'b0000110000000000;
number_five[6][0:15] <= 16'b0000110000000000;
number_five[7][0:15] <= 16'b0000111111100000;
number_five[8][0:15] <= 16'b0000111111110000;
number_five[9][0:15] <= 16'b0000000000110000;
number_five[10][0:15] <= 16'b0000000000110000;
number_five[11][0:15] <= 16'b0000111111110000;
number_five[12][0:15] <= 16'b0000111111100000;
number_five[13][0:15] <= 16'b0000000000000000;
number_five[14][0:15] <= 16'b0000000000000000;
number_five[15][0:15] <= 16'b0000000000000000;
//number6
number_six[0][0:15] <= 16'b0000000000000000;
number_six[1][0:15] <= 16'b0000000000000000;
number_six[2][0:15] <= 16'b0000000000000000;
number_six[3][0:15] <= 16'b0000011111110000;
number_six[4][0:15] <= 16'b0000111111110000;
number_six[5][0:15] <= 16'b0000110000000000;
number_six[6][0:15] <= 16'b0000110000000000;
number_six[7][0:15] <= 16'b0000111111100000;
number_six[8][0:15] <= 16'b0000111111110000;
number_six[9][0:15] <= 16'b0000110000110000;
number_six[10][0:15] <= 16'b0000110000110000;
number_six[11][0:15] <= 16'b0000111111110000;
number_six[12][0:15] <= 16'b0000011111100000;
number_six[13][0:15] <= 16'b0000000000000000;
number_six[14][0:15] <= 16'b0000000000000000;
number_six[15][0:15] <= 16'b0000000000000000;
//number7
number_seven[0][0:15] <= 16'b0000000000000000;
number_seven[1][0:15] <= 16'b0000000000000000;
number_seven[2][0:15] <= 16'b0000000000000000;
number_seven[3][0:15] <= 16'b0000111111110000;
number_seven[4][0:15] <= 16'b0000111111110000;
number_seven[5][0:15] <= 16'b0000000000110000;
number_seven[6][0:15] <= 16'b0000000001110000;
number_seven[7][0:15] <= 16'b0000000011100000;
number_seven[8][0:15] <= 16'b0000000111000000;
number_seven[9][0:15] <= 16'b0000001110000000;
number_seven[10][0:15] <= 16'b0000011100000000;
number_seven[11][0:15] <= 16'b0000111000000000;
number_seven[12][0:15] <= 16'b0000110000000000;
number_seven[13][0:15] <= 16'b0000000000000000;
number_seven[14][0:15] <= 16'b0000000000000000;
number_seven[15][0:15] <= 16'b0000000000000000;
//number8
number_eight[0][0:15] <= 16'b0000000000000000;
number_eight[1][0:15] <= 16'b0000000000000000;
number_eight[2][0:15] <= 16'b0000000000000000;
number_eight[3][0:15] <= 16'b0000011111100000;
number_eight[4][0:15] <= 16'b0000111111110000;
number_eight[5][0:15] <= 16'b0000110000110000;
number_eight[6][0:15] <= 16'b0000110000110000;
number_eight[7][0:15] <= 16'b0000011111100000;
number_eight[8][0:15] <= 16'b0000011111100000;
number_eight[9][0:15] <= 16'b0000110000110000;
number_eight[10][0:15] <= 16'b0000110000110000;
number_eight[11][0:15] <= 16'b0000111111110000;
number_eight[12][0:15] <= 16'b0000011111100000;
number_eight[13][0:15] <= 16'b0000000000000000;
number_eight[14][0:15] <= 16'b0000000000000000;
number_eight[15][0:15] <= 16'b0000000000000000;
//number9
number_nine[0][0:15] <= 16'b0000000000000000;
number_nine[1][0:15] <= 16'b0000000000000000;
number_nine[2][0:15] <= 16'b0000000000000000;
number_nine[3][0:15] <= 16'b0000011111100000;
number_nine[4][0:15] <= 16'b0000111111110000;
number_nine[5][0:15] <= 16'b0000110000110000;
number_nine[6][0:15] <= 16'b0000110000110000;
number_nine[7][0:15] <= 16'b0000111111110000;
number_nine[8][0:15] <= 16'b0000011111110000;
number_nine[9][0:15] <= 16'b0000000000110000;
number_nine[10][0:15] <= 16'b0000000000110000;
number_nine[11][0:15] <= 16'b0000111111110000;
number_nine[12][0:15] <= 16'b0000111111100000;
number_nine[13][0:15] <= 16'b0000000000000000;
number_nine[14][0:15] <= 16'b0000000000000000;
number_nine[15][0:15] <= 16'b0000000000000000;
//mushroom 3 * 30
//centipede 10 * 2
//player 1 * 2
//spider 1 * 2
//bullet 30 * 2
end else if (chipselect && write) begin
if(address <90) begin
case(address % 3)
8'd0: mushroom_state[(address / 3)][15: 0] <= writedata;
8'd1: mushroom_state[(address / 3)][31: 16] <= writedata;
8'd2: mushroom_state[(address / 3)][47: 32] <= writedata;
endcase
end
else if(address >= 90 && address < 110) begin
if(address[0] == 0)
centipede_position_x[(address - 90)/2][15:0] <= writedata;
else
centipede_position_y[(address - 91)/2][15:0] <= writedata;
end
else if(address >= 110 && address < 170) begin
if(address[0] == 0)
b_position_x[(address - 110)/2][15:0] <= writedata;
else
b_position_y[(address - 111)/2][15:0] <= writedata;
end
else if (address >180 && address <= 220) begin
title_state[address - 181][3:0] <= writedata;
end
else if (address >= 221 && address <= 230) begin
centipede_state[address - 221][2:0] <= writedata;
end
else begin
case(address)
8'd170: p_position_x <= writedata;
8'd171: p_position_y <= writedata;
8'd172: s_position_x <= writedata;
8'd173: s_position_y <= writedata;
endcase
end
end
always_comb begin
{VGA_R, VGA_G, VGA_B} = {8'h0, 8'h0, 8'h0};
if (VGA_BLANK_n ) begin
// Draw Mushroom
if(mushroom_state[vcount[9:0]>>4][hcount[10:1]>>4] == 1) begin
//if(hcount[10:1] - 128 < 16 && vcount[9:0] - (i << 4) < 16) begin
if(mushroom_out[vcount[3:0]][hcount[4:1]] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; //red
else if(mushroom_in[vcount[3:0]][hcount[4:1]] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hcc, 8'h00}; //orange
else
{VGA_R, VGA_G, VGA_B} = {8'h0, 8'h0, 8'h0};
//end
end
//Draw title
else if(title_state[hcount[10:1]>>4][3:0] == 0 && number_zero[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 1 && number_one[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 2 && number_two[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 3 && number_three[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 4 && number_four[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 5 && number_five[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 6 && number_six[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 7 && number_seven[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 8 && number_eight[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 9 && number_nine[vcount[3:0]][hcount[4:1]] == 1 && vcount < 16)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else if(title_state[hcount[10:1]>>4][3:0] == 10 && vcount < 16)
if(player_body[vcount[3:0]][hcount[4:1]] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else if(player_eye[vcount[3:0]][hcount[4:1]] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00};
else begin
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'h00, 8'h00};
end
// Draw Centipede
for(int m = 0; m < 10; m++) begin
if(hcount[10:1] - centipede_position_x[m] < 16 && vcount[9:0] - centipede_position_y[m] < 16) begin
if(centipede_head_lef[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && (centipede_state[m][2:0] == 0 || centipede_state[m][2:0]==1)) //left
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_lef[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 0) //body
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_lef[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 1) //eye
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; // red
else if(centipede_head_rig[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && (centipede_state[m][2:0] == 2 || centipede_state[m][2:0]==3)) //right
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_rig[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 2) //body
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_rig[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 3) //eye
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; // red
else if(centipede_head_dow[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && (centipede_state[m][2:0] == 4 || centipede_state[m][2:0]==5)) //right
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_dow[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 4) //body
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(centipede_eye_dow[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && centipede_state[m][2:0] == 5) //eye
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; // red
else if(centipede_feetone[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && counter[20]==0 && (centipede_state[m][2:0] == 0 || centipede_state[m][2:0]==1 || centipede_state[m][2:0] == 2 || centipede_state[m][2:0]==3))
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else if(centipede_feettwo[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && counter[20]==1 && (centipede_state[m][2:0] == 0 || centipede_state[m][2:0]==1 || centipede_state[m][2:0] == 2 || centipede_state[m][2:0]==3))
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else if(centipede_feetone_dow[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && counter[20]==0 && (centipede_state[m][2:0] == 4 || centipede_state[m][2:0]==5))
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else if(centipede_feettwo_dow[vcount[9:0] - centipede_position_y[m]][hcount[10:1] - centipede_position_x[m]] == 1 && counter[20]==1 && (centipede_state[m][2:0] == 4 || centipede_state[m][2:0]== 5))
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else {VGA_R, VGA_G, VGA_B} = {8'h0, 8'h0, 8'h0};
end
end
// Draw Bullet
for(int n = 0; n < 30; n++) begin
if(hcount[10:1] - b_position_x[n] < 16 && vcount[9:0] - b_position_y[n] < 16) begin
if(bullet_figure[vcount[9:0] - b_position_y[n]][hcount[10:1] - b_position_x[n]] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; //red
end
end
// Draw player
if(hcount[10:1] - p_position_x < 16 && vcount[9:0] - p_position_y < 16) begin
if(player_body[vcount[9:0] - p_position_y][hcount[10:1] - p_position_x] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff}; //white
else if(player_eye[vcount[9:0] - p_position_y][hcount[10:1] - p_position_x] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; //red
end
// Draw Spider
if(hcount[10:1] - s_position_x < 16 && vcount[9:0] - s_position_y < 16) begin
if(spider_body[vcount[9:0] - s_position_y][hcount[10:1] - s_position_x] == 1)
{VGA_R, VGA_G, VGA_B} = {8'h00, 8'haa, 8'h00}; //green
else if(spider_eye[vcount[9:0] - s_position_y][hcount[10:1] - s_position_x] == 1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'h00, 8'h00}; //red
else if(spider_legone[vcount[9:0] - s_position_y][hcount[10:1] - s_position_x] == 1 && counter[24]==0)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
else if(spider_legtwo[vcount[9:0] - s_position_y][hcount[10:1] - s_position_x] == 1 && counter[24]==1)
{VGA_R, VGA_G, VGA_B} = {8'hff, 8'hff, 8'hff};
end
end
end
endmodule
module moving_counters(
input logic clk50, reset,
output logic [24:0] counter
);
always_ff @(posedge clk50 or posedge reset)
if (reset) counter <= 0;
else counter <= counter + 25'd 1;
endmodule
module vga_counters(
input logic clk50, reset,
output logic [10:0] hcount, // hcount[10:1] is pixel column
output logic [9:0] vcount, // vcount[9:0] is pixel row
output logic VGA_CLK, VGA_HS, VGA_VS, VGA_BLANK_n, VGA_SYNC_n);
/*
* 640 X 480 VGA timing for a 50 MHz clock: one pixel every other cycle
*
* HCOUNT 1599 0 1279 1599 0
* _______________ ________
* ___________| Video |____________| Video
*
*
* |SYNC| BP |<-- HACTIVE -->|FP|SYNC| BP |<-- HACTIVE
* _______________________ _____________
* |____| VGA_HS |____|
*/
// Parameters for hcount
parameter HACTIVE = 11'd 1280,
HFRONT_PORCH = 11'd 32,
HSYNC = 11'd 192,
HBACK_PORCH = 11'd 96,
HTOTAL = HACTIVE + HFRONT_PORCH + HSYNC +
HBACK_PORCH; // 1600
// Parameters for vcount
parameter VACTIVE = 10'd 480,
VFRONT_PORCH = 10'd 10,
VSYNC = 10'd 2,
VBACK_PORCH = 10'd 33,
VTOTAL = VACTIVE + VFRONT_PORCH + VSYNC +
VBACK_PORCH; // 525
logic endOfLine;
always_ff @(posedge clk50 or posedge reset)
if (reset) hcount <= 0;
else if (endOfLine) hcount <= 0;
else hcount <= hcount + 11'd 1;
assign endOfLine = hcount == HTOTAL - 1;
logic endOfField;
always_ff @(posedge clk50 or posedge reset)
if (reset) vcount <= 0;
else if (endOfLine)
if (endOfField) vcount <= 0;
else vcount <= vcount + 10'd 1;
assign endOfField = vcount == VTOTAL - 1;
// Horizontal sync: from 0x520 to 0x5DF (0x57F)
// 101 0010 0000 to 101 1101 1111
assign VGA_HS = !( (hcount[10:8] == 3'b101) &
!(hcount[7:5] == 3'b111));
assign VGA_VS = !( vcount[9:1] == (VACTIVE + VFRONT_PORCH) / 2);
assign VGA_SYNC_n = 1'b0; // For putting sync on the green signal; unused
// Horizontal active: 0 to 1279 Vertical active: 0 to 479
// 101 0000 0000 1280 01 1110 0000 480
// 110 0011 1111 1599 10 0000 1100 524
assign VGA_BLANK_n = !( hcount[10] & (hcount[9] | hcount[8]) ) &
!( vcount[9] | (vcount[8:5] == 4'b1111) );
/* VGA_CLK is 25 MHz
* __ __ __
* clk50 __| |__| |__|
*
* _____ __
* hcount[0]__| |_____|
*/
assign VGA_CLK = hcount[0]; // 25 MHz clock: rising edge sensitive
endmodule
embedded system/hardware/vga_ball_hw.tcl 0100777 0000000 0000000 00000013131 13466631501 015624 0 ustar 00 # TCL File Generated by Component Editor 18.1
# Thu May 09 13:07:47 EDT 2019
# DO NOT MODIFY
#
# vga_ball "VGA Ball" v1.0
# 2019.05.09.13:07:47
#
#
#
# request TCL package from ACDS 16.1
#
package require -exact qsys 16.1
#
# module vga_ball
#
set_module_property DESCRIPTION ""
set_module_property NAME vga_ball
set_module_property VERSION 1.0
set_module_property INTERNAL false
set_module_property OPAQUE_ADDRESS_MAP true
set_module_property AUTHOR ""
set_module_property DISPLAY_NAME "VGA Ball"
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
set_module_property EDITABLE true
set_module_property REPORT_TO_TALKBACK false
set_module_property ALLOW_GREYBOX_GENERATION false
set_module_property REPORT_HIERARCHY false
#
# file sets
#
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
set_fileset_property QUARTUS_SYNTH TOP_LEVEL top_level
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
add_fileset_file top_level.sv SYSTEM_VERILOG PATH top_level.sv TOP_LEVEL_FILE
#
# parameters
#
#
# module assignments
#
set_module_assignment embeddedsw.dts.group vga
set_module_assignment embeddedsw.dts.name vga_ball
set_module_assignment embeddedsw.dts.vendor csee4840
#
# display items
#
#
# connection point clock
#
add_interface clock clock end
set_interface_property clock clockRate 0
set_interface_property clock ENABLED true
set_interface_property clock EXPORT_OF ""
set_interface_property clock PORT_NAME_MAP ""
set_interface_property clock CMSIS_SVD_VARIABLES ""
set_interface_property clock SVD_ADDRESS_GROUP ""
add_interface_port clock clk clk Input 1
#
# connection point reset
#
add_interface reset reset end
set_interface_property reset associatedClock clock
set_interface_property reset synchronousEdges DEASSERT
set_interface_property reset ENABLED true
set_interface_property reset EXPORT_OF ""
set_interface_property reset PORT_NAME_MAP ""
set_interface_property reset CMSIS_SVD_VARIABLES ""
set_interface_property reset SVD_ADDRESS_GROUP ""
add_interface_port reset reset reset Input 1
#
# connection point avalon_slave_0
#
add_interface avalon_slave_0 avalon end
set_interface_property avalon_slave_0 addressUnits WORDS
set_interface_property avalon_slave_0 associatedClock clock
set_interface_property avalon_slave_0 associatedReset reset
set_interface_property avalon_slave_0 bitsPerSymbol 8
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
set_interface_property avalon_slave_0 burstcountUnits WORDS
set_interface_property avalon_slave_0 explicitAddressSpan 0
set_interface_property avalon_slave_0 holdTime 0
set_interface_property avalon_slave_0 linewrapBursts false
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
set_interface_property avalon_slave_0 maximumPendingWriteTransactions 0
set_interface_property avalon_slave_0 readLatency 0
set_interface_property avalon_slave_0 readWaitTime 1
set_interface_property avalon_slave_0 setupTime 0
set_interface_property avalon_slave_0 timingUnits Cycles
set_interface_property avalon_slave_0 writeWaitTime 0
set_interface_property avalon_slave_0 ENABLED true
set_interface_property avalon_slave_0 EXPORT_OF ""
set_interface_property avalon_slave_0 PORT_NAME_MAP ""
set_interface_property avalon_slave_0 CMSIS_SVD_VARIABLES ""
set_interface_property avalon_slave_0 SVD_ADDRESS_GROUP ""
add_interface_port avalon_slave_0 writedata writedata Input 16
add_interface_port avalon_slave_0 write write Input 1
add_interface_port avalon_slave_0 chipselect chipselect Input 1
add_interface_port avalon_slave_0 address address Input 8
add_interface_port avalon_slave_0 read read Input 1
add_interface_port avalon_slave_0 readdata readdata Output 16
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isFlash 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isMemoryDevice 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isNonVolatileStorage 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isPrintableDevice 0
#
# connection point vga
#
add_interface vga conduit end
set_interface_property vga associatedClock clock
set_interface_property vga associatedReset ""
set_interface_property vga ENABLED true
set_interface_property vga EXPORT_OF ""
set_interface_property vga PORT_NAME_MAP ""
set_interface_property vga CMSIS_SVD_VARIABLES ""
set_interface_property vga SVD_ADDRESS_GROUP ""
add_interface_port vga VGA_R r Output 8
add_interface_port vga VGA_G g Output 8
add_interface_port vga VGA_B b Output 8
add_interface_port vga VGA_CLK clk Output 1
add_interface_port vga VGA_HS hs Output 1
add_interface_port vga VGA_VS vs Output 1
add_interface_port vga VGA_BLANK_n blank_n Output 1
add_interface_port vga VGA_SYNC_n sync_n Output 1
#
# connection point ps2
#
add_interface ps2 conduit end
set_interface_property ps2 associatedClock clock
set_interface_property ps2 associatedReset ""
set_interface_property ps2 ENABLED true
set_interface_property ps2 EXPORT_OF ""
set_interface_property ps2 PORT_NAME_MAP ""
set_interface_property ps2 CMSIS_SVD_VARIABLES ""
set_interface_property ps2 SVD_ADDRESS_GROUP ""
add_interface_port ps2 PS2_CLK clk Bidir 1
add_interface_port ps2 PS2_DAT dat Bidir 1
#
# connection point key
#
add_interface key conduit end
set_interface_property key associatedClock clock
set_interface_property key associatedReset ""
set_interface_property key ENABLED true
set_interface_property key EXPORT_OF ""
set_interface_property key PORT_NAME_MAP ""
set_interface_property key CMSIS_SVD_VARIABLES ""
set_interface_property key SVD_ADDRESS_GROUP ""
add_interface_port key KEY key Input 4
embedded system/hardware/vga_ball_hw.tcl~ 0100777 0000000 0000000 00000014243 13466631501 016027 0 ustar 00 # TCL File Generated by Component Editor 18.1
# Tue May 07 21:22:02 EDT 2019
# DO NOT MODIFY
#
# vga_ball "VGA Ball" v1.0
# 2019.05.07.21:22:02
#
#
#
# request TCL package from ACDS 16.1
#
package require -exact qsys 16.1
#
# module vga_ball
#
set_module_property DESCRIPTION ""
set_module_property NAME vga_ball
set_module_property VERSION 1.0
set_module_property INTERNAL false
set_module_property OPAQUE_ADDRESS_MAP true
set_module_property AUTHOR ""
set_module_property DISPLAY_NAME "VGA Ball"
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
set_module_property EDITABLE true
set_module_property REPORT_TO_TALKBACK false
set_module_property ALLOW_GREYBOX_GENERATION false
set_module_property REPORT_HIERARCHY false
set_module_assignment embeddedsw.dts.vendor "csee4840"
set_module_assignment embeddedsw.dts.name "vga_ball"
set_module_assignment embeddedsw.dts.group "vga"
#
# file sets
#
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
set_fileset_property QUARTUS_SYNTH TOP_LEVEL top_level
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
add_fileset_file top_level.sv SYSTEM_VERILOG PATH top_level.sv TOP_LEVEL_FILE
#
# parameters
#
#
# display items
#
#
# connection point clock
#
add_interface clock clock end
set_interface_property clock clockRate 0
set_interface_property clock ENABLED true
set_interface_property clock EXPORT_OF ""
set_interface_property clock PORT_NAME_MAP ""
set_interface_property clock CMSIS_SVD_VARIABLES ""
set_interface_property clock SVD_ADDRESS_GROUP ""
add_interface_port clock clk clk Input 1
#
# connection point reset
#
add_interface reset reset end
set_interface_property reset associatedClock clock
set_interface_property reset synchronousEdges DEASSERT
set_interface_property reset ENABLED true
set_interface_property reset EXPORT_OF ""
set_interface_property reset PORT_NAME_MAP ""
set_interface_property reset CMSIS_SVD_VARIABLES ""
set_interface_property reset SVD_ADDRESS_GROUP ""
add_interface_port reset reset reset Input 1
#
# connection point avalon_slave_0
#
add_interface avalon_slave_0 avalon end
set_interface_property avalon_slave_0 addressUnits WORDS
set_interface_property avalon_slave_0 associatedClock clock
set_interface_property avalon_slave_0 associatedReset reset
set_interface_property avalon_slave_0 bitsPerSymbol 8
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
set_interface_property avalon_slave_0 burstcountUnits WORDS
set_interface_property avalon_slave_0 explicitAddressSpan 0
set_interface_property avalon_slave_0 holdTime 0
set_interface_property avalon_slave_0 linewrapBursts false
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
set_interface_property avalon_slave_0 maximumPendingWriteTransactions 0
set_interface_property avalon_slave_0 readLatency 0
set_interface_property avalon_slave_0 readWaitTime 1
set_interface_property avalon_slave_0 setupTime 0
set_interface_property avalon_slave_0 timingUnits Cycles
set_interface_property avalon_slave_0 writeWaitTime 0
set_interface_property avalon_slave_0 ENABLED true
set_interface_property avalon_slave_0 EXPORT_OF ""
set_interface_property avalon_slave_0 PORT_NAME_MAP ""
set_interface_property avalon_slave_0 CMSIS_SVD_VARIABLES ""
set_interface_property avalon_slave_0 SVD_ADDRESS_GROUP ""
add_interface_port avalon_slave_0 writedata writedata Input 16
add_interface_port avalon_slave_0 write write Input 1
add_interface_port avalon_slave_0 chipselect chipselect Input 1
add_interface_port avalon_slave_0 address address Input 8
add_interface_port avalon_slave_0 read read Input 1
add_interface_port avalon_slave_0 readdata readdata Output 16
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isFlash 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isMemoryDevice 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isNonVolatileStorage 0
set_interface_assignment avalon_slave_0 embeddedsw.configuration.isPrintableDevice 0
#
# connection point vga
#
add_interface vga conduit end
set_interface_property vga associatedClock clock
set_interface_property vga associatedReset ""
set_interface_property vga ENABLED true
set_interface_property vga EXPORT_OF ""
set_interface_property vga PORT_NAME_MAP ""
set_interface_property vga CMSIS_SVD_VARIABLES ""
set_interface_property vga SVD_ADDRESS_GROUP ""
add_interface_port vga VGA_R r Output 8
add_interface_port vga VGA_G g Output 8
add_interface_port vga VGA_B b Output 8
add_interface_port vga VGA_CLK clk Output 1
add_interface_port vga VGA_HS hs Output 1
add_interface_port vga VGA_VS vs Output 1
add_interface_port vga VGA_BLANK_n blank_n Output 1
add_interface_port vga VGA_SYNC_n sync_n Output 1
#
# connection point ps2
#
add_interface ps2 conduit end
set_interface_property ps2 associatedClock clock
set_interface_property ps2 associatedReset ""
set_interface_property ps2 ENABLED true
set_interface_property ps2 EXPORT_OF ""
set_interface_property ps2 PORT_NAME_MAP ""
set_interface_property ps2 CMSIS_SVD_VARIABLES ""
set_interface_property ps2 SVD_ADDRESS_GROUP ""
add_interface_port ps2 PS2_CLK clk Bidir 1
add_interface_port ps2 PS2_DAT dat Bidir 1
#
# connection point aud
#
add_interface aud conduit end
set_interface_property aud associatedClock clock
set_interface_property aud associatedReset ""
set_interface_property aud ENABLED true
set_interface_property aud EXPORT_OF ""
set_interface_property aud PORT_NAME_MAP ""
set_interface_property aud CMSIS_SVD_VARIABLES ""
set_interface_property aud SVD_ADDRESS_GROUP ""
add_interface_port aud left_chan_ready left_chan Input 1
add_interface_port aud right_chan_ready right_chan Input 1
add_interface_port aud sample_data sample_data Output 16
add_interface_port aud sample_valid sample_valid Output 1
#
# connection point key
#
add_interface key conduit end
set_interface_property key associatedClock clock
set_interface_property key associatedReset ""
set_interface_property key ENABLED true
set_interface_property key EXPORT_OF ""
set_interface_property key PORT_NAME_MAP ""
set_interface_property key CMSIS_SVD_VARIABLES ""
set_interface_property key SVD_ADDRESS_GROUP ""
add_interface_port key KEY key Input 4
embedded system/software/ 0040777 0000000 0000000 00000000000 13466627536 012726 5 ustar 00 embedded system/software/hello.c 0100777 0000000 0000000 00000030652 13466627535 014202 0 ustar 00
#include
#include "vga_ball.h"
#include
#include
#include
#include
#include
#include
#include
#include
int vga_ball_fd;
struct point{
int x;
int y;
int x_direction;
int y_direction;
int dead;
};
struct Centipede{
int x;
int y;
int pre_x;
int pre_y;
int moveDown;
int moveSide;
int downcount;
int dead;
int head;
int state;
};
struct Bullet{
int x;
int y;
int dead;
};
struct point Spider;
struct Centipede c[10];
struct point Player;
struct Bullet b[30];
int title[40];
int M[30][48];
int mouseX, mouseY,lef,rig,mid;
int isFired;
int countBullet = 0;
int Life = 3;
int Score = 0;
int DieCentipede = 0;
int highestScore;
vga_ball_background mushroom;
vga_ball_centipede centipede;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_mouse mouse;
vga_ball_title Title;
vga_ball_state State;
void Initialization(){
int i;
int j;
Spider.x = 0x0f;
Spider.y = 0;
Spider.x_direction = 1;
Spider.y_direction = 1;
Spider.dead = 1;
Player.y = 350;
Player.x = 450;
Player.dead = 0;
Player.x_direction = 0;
Player.y_direction = 0;
isFired = 0;
DieCentipede = 0;
c[0].x = 0;
c[0].y = 160;
c[0].pre_x = c[0].x;
c[0].pre_y = c[0].y;
c[0].moveDown = 1;
c[0].moveSide = 1;
c[0].dead = 0;
c[0].downcount = 0;
c[0].head = 1;
c[0].state = 3;
for(i = 1; i < 10;i++){
c[i].x = 0;
c[i].y = c[i-1].y - 16;
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
c[i].moveDown = 1;
c[i].moveSide = 1;
c[i].dead = 0;
c[i].downcount = 0;
c[i].head = 0;
c[i].state = 2;
}
for(i = 0 ; i < 30; i++){
b[i].dead = 1;
b[i].x = 700;
b[i].y = 700;
}
memset(&mushroom, 0, 2 * 90);
memset(&bullet, 300, 2*30);
for(i = 1; i < 26; i ++){
for(j = 0; j < 48; j++){
if((rand() % 100) < 5){
M[i][j] = 1;
}else{
M[i][j] = 0;
}
}
}
for(i = 0;i<40;i++){
title[i] = 12;
}
}
void set_background(vga_ball_background *c)
{
vga_ball_background mushroom;
mushroom = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_BACKGROUND, &mushroom)) {
perror("ioctl(VGA_BALL_SET_BACKGROUND) failed");
return;
}
}
void set_title(vga_ball_title *c)
{
vga_ball_title title;
title = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_TITLE, &title)) {
perror("ioctl(VGA_BALL_SET_TITLE) failed");
return;
}
}
void set_state(vga_ball_state *c)
{
vga_ball_state state;
state = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_STATE, &state)) {
perror("ioctl(VGA_BALL_SET_STATE) failed");
return;
}
}
void set_start(vga_ball_start *c)
{
vga_ball_start start;
start = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_START, &start)) {
perror("ioctl(VGA_BALL_SET_BACKGROUND) failed");
return;
}
}
void set_centipede(vga_ball_centipede *c)
{
vga_ball_centipede centipede;
centipede = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_CENTIPEDE, ¢ipede)) {
perror("ioctl(VGA_BALL_SET_CENTIPEDE) failed");
return;
}
}
void set_bullet(vga_ball_bullet *c)
{
vga_ball_bullet bullet;
bullet = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_BULLET, &bullet)) {
perror("ioctl(VGA_BALL_SET_BULLET) failed");
return;
}
}
void set_player(vga_ball_object *c)
{
vga_ball_object player;
player = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_PLAYER, &player)) {
perror("ioctl(VGA_BALL_SET_PLAYER) failed");
return;
}
}
void print_mouse() {
vga_ball_mouse mouse;
if (ioctl(vga_ball_fd, VGA_BALL_READ_MOUSE, &mouse)) {
perror("ioctl(VGA_BALL_READ_MOUSE) failed");
return;
}
mouseX = mouse.x;
mouseY = mouse.y;
lef = mouse.l;
rig = mouse.r;
mid = mouse.m;
//printf("mouse reading %d %d %d %d %d\n",mouseX, mouseY,lef,rig,mid);
}
void set_spider(vga_ball_object *c)
{
vga_ball_object spider;
spider = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_SPIDER, &spider)) {
perror("ioctl(VGA_BALL_SET_SPIDER) failed");
return;
}
}
void UpdateTitle(){
int i;
int tempH = highestScore;
int tempS = Score;
if(Life == 3){
title[9] = 10;
title[10] = 10;
title[11] = 10;
}
if(Life == 2){
title[9] = 12;
title[10] = 10;
title[11] = 10;
}
if(Life == 1){
title[9] = 12;
title[10] = 12;
title[11] = 10;
}
for(i = 6; i >= 0;i--){
title[i] = tempS % 10;
title[i +14] = tempH % 10;
tempS /= 10;
tempH /= 10;
}
}
void SetPlayer(){
int prevX = (mouseX *47)/25;
int prevY = (mouseY * 5)/2;
int baseX = 0;
int baseY= 0;
print_mouse();
int tempX = (mouseX * 47)/25;
int tempY = (mouseY * 5)/2;
if(rig == 1){
while(isFired < 30){
if(countBullet <32){
countBullet++;
break;
}
SetBullet();
isFired++;
countBullet = 0;
}
}
if(isFired >= 30){
isFired = 0;
}
//printf("mouse reading %d %d\n",tempX - prevX, tempY - prevY);
//printf("Player reading %d %d\n",Player.x, Player.y);
if(tempX > prevX){
if( M[Player.x / 16 + 1][Player.y/16] == 1){
return;
}
if( M[Player.x / 16 + 1][Player.y/16 + 1] == 1){
return;
}
if(Player.x + tempX - prevX < 464){
Player.x += (tempX - prevX);
}
}
if(tempX < prevX){
if(M[Player.x / 16][Player.y/16] == 1){
return;
}
if(M[Player.x / 16][Player.y/16+1] == 1){
return;
}
if(Player.x - prevX + tempX > 0){
Player.x -= (prevX - tempX);
}
}
if(tempY < prevY){
if(M[Player.x / 16][Player.y/16] == 1){
return;
}
if(M[Player.x / 16+1][Player.y/16] == 1){
return;
}
if(Player.y - (prevY - tempY) > 0){
Player.y-= (prevY - tempY);
}
}
if(tempY > prevY){
if( M[Player.x / 16][Player.y/16 +1] == 1){
return;
}
if( M[Player.x / 16+1][Player.y/16 +1] == 1){
return;
}
if(Player.y + (tempY - prevY) < 624){
Player.y+=(tempY - prevY);
}
}
if(Player.x < Spider.x + 16 && Player.x > Spider.x-16 && Player.y > Spider.y - 16 && Player.y < Spider.y+16){
Life--;
Player.x = 450;
Player.y = 350;
}
int z;
for(z = 0; z < 10;z++){
if(Player.x < c[z].x+16 && Player.x > c[z].x-16 && Player.y > c[z].y-16 && Player.y < c[z].y+16){
Life--;
Player.x = 450;
Player.y = 350;
}
}
}
void UpdateBullet(){
int i;
int j;
for(i = 0; i < 30; i++){
if(b[i].dead==0){
if(M[b[i].x/16][b[i].y/16] == 1){
M[b[i].x/16][b[i].y/16] = 0;
b[i].dead = 1;
Score+=10;
}
if(M[b[i].x/16][b[i].y/16 + 1] == 1){
M[b[i].x/16][b[i].y/16 + 1] = 0;
b[i].dead = 1;
Score+= 10;
}
if(Spider.x +16 > b[i].x && b[i].x > Spider.x-16 && Spider.y -16 < b[i].y && b[i].y < Spider.y + 16 && b[i].dead == 0){
Spider.dead = 1;
b[i].dead = 1;
Score+=600;
//printf("dead\n");
}
//if(Spider.x -16 <= b[i].x && b[i].x <= Spider.x && Spider.y -16 <= b[i].y && b[i].y <= Spider.y && b[i].dead == 0){
// Spider.dead = 1;
// b[i].dead = 1;
//}
for(j = 0; j < 10;j++){
if(c[j].x +16 > b[i].x && b[i].x > c[j].x-16 && c[j].y -16 < b[i].y && b[i].y <= c[j].y && c[j].dead == 0){
c[j].dead = 1;
b[i].dead = 1;
M[c[j].x / 16][c[j].y / 16] = 1;
DieCentipede ++;
if(c[j].head == 1){
Score += 200;
}else{
Score+=100;
}
}
if(j > 0){
if(c[j-1].dead == 1){
c[j].head = 1;
}
}
}
if(b[i].x < 0){
b[i].dead = 1;
}else{
b[i].x-=2;
}
}
}
}
void SetBullet(){
b[isFired].x = Player.x;
b[isFired].y = Player.y;
b[isFired].dead = 0;
}
void UpdateSpider(){
if(Spider.dead == 1){
if(rand() % 100 < 3){
Spider.dead = 0;
Spider.x = (rand()%30) * 16;
Spider.y = (rand()%40) * 16;
}
//Spider.x = 224;
//Spider.y = 0;
}
if(Spider.x >= 464){
Spider.x_direction = -1;
}
if(Spider.x <= 224){
Spider.x_direction = 1;
}
if(Spider.y >= 626){
Spider.y_direction = -1;
}
if(Spider.y <= 0x00){
Spider.y_direction = 1;
}
if(M[Spider.x / 16][Spider.y/16 +1]==1 && Spider.y_direction == 1){
M[Spider.x / 16][Spider.y /16+1] = 0;
}else if(M[Spider.x / 16][Spider.y /16] == 1){
M[Spider.x / 16][Spider.y /16] = 0;
}
Spider.x+=Spider.x_direction;
Spider.y+=Spider.y_direction;
if(Spider.dead == 0){
spider.x = Spider.y;
spider.y = Spider.x;
}else{
spider.x = 700;
spider.y = 700;
}
}
void PutMushroom(){
int i;
int j;
int k;
for(i = 0; i < 30;i++){
for(j = 0; j < 3;j++){
short temp = 0;
for(k=0; k < 16;k++){
temp |= M[i][j * 16 + k] << k;
}
mushroom.mushroom[i * 3 + j] = temp;
}
}
}
void MoveCentipede(){
int i;
//printf("%d %d\n",c[0].x, c[0].y);
for(i = 0; i < 10;i++){
if(c[i].dead == 0){
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
if(c[i].y >= 624 || c[i].y <= 0){
if(c[i].downcount < 16){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
}
if(M[(c[i].x) / 16][(c[i].y + 16) / 16] == 1 && c[i].moveSide == 1 ){
if(c[i].y % 16 == 0){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}else{
if(i < 9){
c[i + 1].head = 1;
}
}
}
if(M[c[i].x / 16][c[i].y / 16] == 1 && c[i].moveSide == -1){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
if(c[i].downcount >0 && c[i].downcount < 16){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
if(c[i].downcount >= 16){
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
c[i].moveSide *= -1;
c[i].downcount = 0;
}
if(c[i].downcount == 0){
if(c[i].head == 1){
if(c[i].moveSide == 1){
c[i].state = 3;
}else{
c[i].state = 1;
}
}else{
if(c[i].moveSide == 1){
c[i].state = 2;
}else{
c[i].state = 0;
}
}
c[i].y += c[i].moveSide;
}
if(c[i].x > 480){
Initialization();
Life--;
}
}
}
}
int main()
{
printf("Game Start ");
static const char filename[] = "/dev/vga_ball";
vga_ball_start start;
start.x = 0;
set_start(&start);
if ( (vga_ball_fd = open(filename, O_RDWR)) == -1) {
fprintf(stderr, "could not open %s\n", filename);
return -1;
}
srand(time(0));
print_mouse();
Initialization();
int i;
FILE *fptr;
if((fptr = fopen("highest.txt","r")) == NULL){
printf("ERROR! opening file");
}
fscanf(fptr, "%d",&highestScore);
fclose(fptr);
while(1) {
printf("Value of Highest=%d\n",highestScore);
if(DieCentipede == 10){
Initialization();
}
start.x = 1;
set_start(&start);
MoveCentipede();
UpdateSpider();
PutMushroom();
SetPlayer();
UpdateBullet();
UpdateTitle();
for(i = 0; i < 10;i++){
if(c[i].dead == 0){
centipede.centipede[i*2] =c[i].y;
centipede.centipede[i*2+1] =c[i].x;
State.state[i] = c[i].state;
}else{
centipede.centipede[i*2] = 1000;
centipede.centipede[i*2+1] =1000;
}
}
for(i = 0; i < 30;i++){
if(b[i].dead == 0){
bullet.bullet[i*2] = b[i].y;
bullet.bullet[i*2+1] = b[i].x;
}else{
bullet.bullet[i*2] = 700+i;
bullet.bullet[i*2+1] = 530+i;
}
}
player.x = Player.y;
player.y = Player.x;
set_player(&player);
set_background(&mushroom);
set_centipede(¢ipede);
set_spider(&spider);
set_bullet(&bullet);
for(i = 0; i < 40;i++){
Title.title[i] = title[i];
}
set_title(&Title);
set_state(&State);
if(Life == 0){
if(Score > highestScore){
fptr = fopen("highest.txt","w");
if(fptr==NULL){
printf("Error");
}
fprintf(fptr,"%d",Score);
fclose(fptr);
}
break;
}
usleep(5000);
}
return 0;
}
embedded system/software/hello.c~ 0100777 0000000 0000000 00000031071 13466627535 014374 0 ustar 00 /*
* Userspace program that communicates with the vga_ball device driver
* through ioctls
*
* Stephen A. Edwards
* Columbia University
*/
#include
#include "vga_ball.h"
#include
#include
#include
#include
#include
#include
#include
#include
int vga_ball_fd;
struct point{
int x;
int y;
int x_direction;
int y_direction;
int dead;
};
struct Centipede{
int x;
int y;
int pre_x;
int pre_y;
int moveDown;
int moveSide;
int downcount;
int dead;
int head;
int state;
};
struct Bullet{
int x;
int y;
int dead;
};
struct point Spider;
struct Centipede c[10];
struct point Player;
struct Bullet b[30];
int title[40];
int M[30][48];
int mouseX, mouseY,lef,rig,mid;
int isFired;
int countBullet = 0;
int Life = 3;
int Score = 0;
int DieCentipede = 0;
int highestScore;
vga_ball_background mushroom;
vga_ball_centipede centipede;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_mouse mouse;
vga_ball_title Title;
vga_ball_state State;
void Initialization(){
int i;
int j;
Spider.x = 0x0f;
Spider.y = 0;
Spider.x_direction = 1;
Spider.y_direction = 1;
Spider.dead = 1;
Player.y = 350;
Player.x = 450;
Player.dead = 0;
Player.x_direction = 0;
Player.y_direction = 0;
isFired = 0;
DieCentipede = 0;
c[0].x = 0;
c[0].y = 160;
c[0].pre_x = c[0].x;
c[0].pre_y = c[0].y;
c[0].moveDown = 1;
c[0].moveSide = 1;
c[0].dead = 0;
c[0].downcount = 0;
c[0].head = 1;
c[0].state = 3;
for(i = 1; i < 10;i++){
c[i].x = 0;
c[i].y = c[i-1].y - 16;
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
c[i].moveDown = 1;
c[i].moveSide = 1;
c[i].dead = 0;
c[i].downcount = 0;
c[i].head = 0;
c[i].state = 2;
}
for(i = 0 ; i < 30; i++){
b[i].dead = 1;
b[i].x = 700;
b[i].y = 700;
}
memset(&mushroom, 0, 2 * 90);
memset(&bullet, 300, 2*30);
for(i = 1; i < 26; i ++){
for(j = 0; j < 48; j++){
if((rand() % 100) < 5){
M[i][j] = 1;
}else{
M[i][j] = 0;
}
}
}
for(i = 0;i<40;i++){
title[i] = 12;
}
}
void set_background(vga_ball_background *c)
{
vga_ball_background mushroom;
mushroom = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_BACKGROUND, &mushroom)) {
perror("ioctl(VGA_BALL_SET_BACKGROUND) failed");
return;
}
}
void set_title(vga_ball_title *c)
{
vga_ball_title title;
title = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_TITLE, &title)) {
perror("ioctl(VGA_BALL_SET_TITLE) failed");
return;
}
}
void set_state(vga_ball_state *c)
{
vga_ball_state state;
state = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_STATE, &state)) {
perror("ioctl(VGA_BALL_SET_STATE) failed");
return;
}
}
void set_start(vga_ball_start *c)
{
vga_ball_start start;
start = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_START, &start)) {
perror("ioctl(VGA_BALL_SET_BACKGROUND) failed");
return;
}
}
void set_centipede(vga_ball_centipede *c)
{
vga_ball_centipede centipede;
centipede = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_CENTIPEDE, ¢ipede)) {
perror("ioctl(VGA_BALL_SET_CENTIPEDE) failed");
return;
}
}
void set_bullet(vga_ball_bullet *c)
{
vga_ball_bullet bullet;
bullet = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_BULLET, &bullet)) {
perror("ioctl(VGA_BALL_SET_BULLET) failed");
return;
}
}
void set_player(vga_ball_object *c)
{
vga_ball_object player;
player = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_PLAYER, &player)) {
perror("ioctl(VGA_BALL_SET_PLAYER) failed");
return;
}
}
void print_mouse() {
vga_ball_mouse mouse;
if (ioctl(vga_ball_fd, VGA_BALL_READ_MOUSE, &mouse)) {
perror("ioctl(VGA_BALL_READ_MOUSE) failed");
return;
}
mouseX = mouse.x;
mouseY = mouse.y;
lef = mouse.l;
rig = mouse.r;
mid = mouse.m;
//printf("mouse reading %d %d %d %d %d\n",mouseX, mouseY,lef,rig,mid);
}
void set_spider(vga_ball_object *c)
{
vga_ball_object spider;
spider = *c;
if (ioctl(vga_ball_fd, VGA_BALL_WRITE_SPIDER, &spider)) {
perror("ioctl(VGA_BALL_SET_SPIDER) failed");
return;
}
}
void UpdateTitle(){
int i;
int tempH = highestScore;
int tempS = Score;
if(Life == 3){
title[9] = 10;
title[10] = 10;
title[11] = 10;
}
if(Life == 2){
title[9] = 12;
title[10] = 10;
title[11] = 10;
}
if(Life == 1){
title[9] = 12;
title[10] = 12;
title[11] = 10;
}
for(i = 6; i >= 0;i--){
title[i] = tempS % 10;
title[i +14] = tempH % 10;
tempS /= 10;
tempH /= 10;
}
}
void SetPlayer(){
int prevX = (mouseX *47)/25;
int prevY = (mouseY * 5)/2;
int baseX = 0;
int baseY= 0;
print_mouse();
int tempX = (mouseX * 47)/25;
int tempY = (mouseY * 5)/2;
if(rig == 1){
while(isFired < 30){
if(countBullet <32){
countBullet++;
break;
}
SetBullet();
isFired++;
countBullet = 0;
}
}
if(isFired >= 30){
isFired = 0;
}
//printf("mouse reading %d %d\n",tempX - prevX, tempY - prevY);
//printf("Player reading %d %d\n",Player.x, Player.y);
if(tempX > prevX){
if( M[Player.x / 16 + 1][Player.y/16] == 1){
return;
}
if( M[Player.x / 16 + 1][Player.y/16 + 1] == 1){
return;
}
if(Player.x + tempX - prevX < 464){
Player.x += (tempX - prevX);
}
}
if(tempX < prevX){
if(M[Player.x / 16][Player.y/16] == 1){
return;
}
if(M[Player.x / 16][Player.y/16+1] == 1){
return;
}
if(Player.x - prevX + tempX > 0){
Player.x -= (prevX - tempX);
}
}
if(tempY < prevY){
if(M[Player.x / 16][Player.y/16] == 1){
return;
}
if(M[Player.x / 16+1][Player.y/16] == 1){
return;
}
if(Player.y - (prevY - tempY) > 0){
Player.y-= (prevY - tempY);
}
}
if(tempY > prevY){
if( M[Player.x / 16][Player.y/16 +1] == 1){
return;
}
if( M[Player.x / 16+1][Player.y/16 +1] == 1){
return;
}
if(Player.y + (tempY - prevY) < 624){
Player.y+=(tempY - prevY);
}
}
if(Player.x < Spider.x + 16 && Player.x > Spider.x-16 && Player.y > Spider.y - 16 && Player.y < Spider.y+16){
Life--;
Player.x = 450;
Player.y = 350;
}
int z;
for(z = 0; z < 10;z++){
if(Player.x < c[z].x+16 && Player.x > c[z].x-16 && Player.y > c[z].y-16 && Player.y < c[z].y+16){
Life--;
Player.x = 450;
Player.y = 350;
}
}
}
void UpdateBullet(){
int i;
int j;
for(i = 0; i < 30; i++){
if(b[i].dead==0){
if(M[b[i].x/16][b[i].y/16] == 1){
M[b[i].x/16][b[i].y/16] = 0;
b[i].dead = 1;
Score+=10;
}
if(M[b[i].x/16][b[i].y/16 + 1] == 1){
M[b[i].x/16][b[i].y/16 + 1] = 0;
b[i].dead = 1;
Score+= 10;
}
if(Spider.x +16 > b[i].x && b[i].x > Spider.x-16 && Spider.y -16 < b[i].y && b[i].y < Spider.y + 16 && b[i].dead == 0){
Spider.dead = 1;
b[i].dead = 1;
Score+=600;
//printf("dead\n");
}
//if(Spider.x -16 <= b[i].x && b[i].x <= Spider.x && Spider.y -16 <= b[i].y && b[i].y <= Spider.y && b[i].dead == 0){
// Spider.dead = 1;
// b[i].dead = 1;
//}
for(j = 0; j < 10;j++){
if(c[j].x +16 > b[i].x && b[i].x > c[j].x-16 && c[j].y -16 < b[i].y && b[i].y <= c[j].y && c[j].dead == 0){
c[j].dead = 1;
b[i].dead = 1;
M[c[j].x / 16][c[j].y / 16] = 1;
DieCentipede ++;
if(c[j].head == 1){
Score += 200;
}else{
Score+=100;
}
}
if(j > 0){
if(c[j-1].dead == 1){
c[j].head = 1;
}
}
}
if(b[i].x < 0){
b[i].dead = 1;
}else{
b[i].x-=2;
}
}
}
}
void SetBullet(){
b[isFired].x = Player.x;
b[isFired].y = Player.y;
b[isFired].dead = 0;
}
void UpdateSpider(){
if(Spider.dead == 1){
if(rand() % 100 < 3){
Spider.dead = 0;
Spider.x = (rand()%30) * 16;
Spider.y = (rand()%40) * 16;
}
//Spider.x = 224;
//Spider.y = 0;
}
if(Spider.x >= 464){
Spider.x_direction = -1;
}
if(Spider.x <= 224){
Spider.x_direction = 1;
}
if(Spider.y >= 626){
Spider.y_direction = -1;
}
if(Spider.y <= 0x00){
Spider.y_direction = 1;
}
if(M[Spider.x / 16][Spider.y/16 +1]==1 && Spider.y_direction == 1){
M[Spider.x / 16][Spider.y /16+1] = 0;
}else if(M[Spider.x / 16][Spider.y /16] == 1){
M[Spider.x / 16][Spider.y /16] = 0;
}
Spider.x+=Spider.x_direction;
Spider.y+=Spider.y_direction;
if(Spider.dead == 0){
spider.x = Spider.y;
spider.y = Spider.x;
}else{
spider.x = 700;
spider.y = 700;
}
}
void PutMushroom(){
int i;
int j;
int k;
for(i = 0; i < 30;i++){
for(j = 0; j < 3;j++){
short temp = 0;
for(k=0; k < 16;k++){
temp |= M[i][j * 16 + k] << k;
}
mushroom.mushroom[i * 3 + j] = temp;
}
}
}
void MoveCentipede(){
int i;
//printf("%d %d\n",c[0].x, c[0].y);
for(i = 0; i < 10;i++){
if(c[i].dead == 0){
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
if(c[i].y >= 624 || c[i].y <= 0){
if(c[i].downcount < 16){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
}
if(M[(c[i].x) / 16][(c[i].y + 16) / 16] == 1 && c[i].moveSide == 1 ){
if(c[i].y % 16 == 0){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}else{
if(i < 9){
c[i + 1].head = 1;
}
}
}
if(M[c[i].x / 16][c[i].y / 16] == 1 && c[i].moveSide == -1){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
if(c[i].downcount >0 && c[i].downcount < 16){
c[i].x++;
c[i].downcount++;
if(c[i].head == 1){
c[i].state = 5;
}else{
c[i].state = 4;
}
}
if(c[i].downcount >= 16){
c[i].pre_x = c[i].x;
c[i].pre_y = c[i].y;
c[i].moveSide *= -1;
c[i].downcount = 0;
}
if(c[i].downcount == 0){
if(c[i].head == 1){
if(c[i].moveSide == 1){
c[i].state = 3;
}else{
c[i].state = 1;
}
}else{
if(c[i].moveSide == 1){
c[i].state = 2;
}else{
c[i].state = 0;
}
}
c[i].y += c[i].moveSide;
}
if(c[i].x > 480){
Initialization();
Life--;
}
}
}
}
int main()
{
printf("Game Start ");
static const char filename[] = "/dev/vga_ball";
vga_ball_start start;
start.x = 0;
set_start(&start);
if ( (vga_ball_fd = open(filename, O_RDWR)) == -1) {
fprintf(stderr, "could not open %s\n", filename);
return -1;
}
srand(time(0));
print_mouse();
Initialization();
int i;
FILE *fptr;
if((fptr = fopen("highest.txt","r")) == NULL){
printf("ERROR! opening file");
}
fscanf(fptr, "%d",&highestScore);
fclose(fptr);
while(1) {
printf("Value of Highest=%d\n",highestScore);
if(DieCentipede == 10){
Initialization();
}
start.x = 1;
set_start(&start);
MoveCentipede();
UpdateSpider();
PutMushroom();
SetPlayer();
UpdateBullet();
UpdateTitle();
for(i = 0; i < 10;i++){
if(c[i].dead == 0){
centipede.centipede[i*2] =c[i].y;
centipede.centipede[i*2+1] =c[i].x;
State.state[i] = c[i].state;
}else{
centipede.centipede[i*2] = 1000;
centipede.centipede[i*2+1] =1000;
}
}
for(i = 0; i < 30;i++){
if(b[i].dead == 0){
bullet.bullet[i*2] = b[i].y;
bullet.bullet[i*2+1] = b[i].x;
}else{
bullet.bullet[i*2] = 700+i;
bullet.bullet[i*2+1] = 530+i;
}
}
player.x = Player.y;
player.y = Player.x;
set_player(&player);
set_background(&mushroom);
set_centipede(¢ipede);
set_spider(&spider);
set_bullet(&bullet);
for(i = 0; i < 40;i++){
Title.title[i] = title[i];
}
set_title(&Title);
set_state(&State);
if(Life == 0){
if(Score > highestScore){
fptr = fopen("highest.txt","w");
if(fptr==NULL){
printf("Error");
}
fprintf(fptr,"%d",Score);
fclose(fptr);
}
break;
}
usleep(5000);
}
return 0;
}
embedded system/software/vga_ball.c 0100777 0000000 0000000 00000021142 13466627535 014640 0 ustar 00
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include "vga_ball.h"
#define DRIVER_NAME "vga_ball"
/* Device registers */
#define BG(x) (x) //mushroom
#define C(x) ((x)+2*90) //centipede
#define B(x) ((x)+2*90+2*20) //bullet
#define P(x) ((x)+2*90+2*20+2*60) //player
#define S(x) ((x)+2*90+2*20+2*60+4) //spider
#define title(x) ((x)+ 181*2) //title
#define state(x) ((x)+ 221*2) //centipede's state
//mouse registers
#define xlatch(x) ((x)+176*2)
#define ylatch(x) ((x)+177*2)
#define leflatch(x) ((x)+178*2)
#define riglatch(x) ((x)+179*2)
#define midlatch(x) ((x)+180*2)
#define start(x) ((x)+174*2)
/*
* Information about our device
*/
struct vga_ball_dev {
struct resource res; /* Resource: our registers */
void __iomem *virtbase; /* Where registers can be accessed in memory */
vga_ball_background mushroom;
vga_ball_title title;
vga_ball_centipede centipede;
vga_ball_state state;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_start start;
vga_ball_mouse mouse;
} dev;
/*
* Write segments of a single digit
* Assumes digit is in range and the device information has been set up
*/
/*static void write_background(vga_ball_color_t *background)
{
iowrite8(background->red, BG_RED(dev.virtbase) );
iowrite8(background->green, BG_GREEN(dev.virtbase) );
iowrite8(background->blue, BG_BLUE(dev.virtbase) );
dev.background = *background;
}
*/
static void write_background(vga_ball_background *mushroom){
int i;
for(i = 0; i < 90; i++){
iowrite16(mushroom->mushroom[i], BG(dev.virtbase) + 2 * i);
}
dev.mushroom = *mushroom;
}
static void write_title(vga_ball_title *title){
int i;
for(i = 0; i < 40; i++){
iowrite16(title->title[i], title(dev.virtbase) + 2 * i);
}
dev.title = *title;
}
static void write_centipede(vga_ball_centipede *centipede){
int i;
for(i = 0; i < 20; i++){
iowrite16(centipede->centipede[i], C(dev.virtbase) + 2 * i);
}
dev.centipede = *centipede;
}
static void write_state(vga_ball_state *state){
int i;
for(i = 0; i < 10; i++){
iowrite16(state->state[i], state(dev.virtbase) + 2 * i);
}
dev.state = *state;
}
static void write_bullet(vga_ball_bullet *bullet){
int i;
for(i = 0; i < 60; i++){
iowrite16(bullet->bullet[i], B(dev.virtbase) + 2 * i);
}
dev.bullet = *bullet;
}
static void write_player(vga_ball_object *object){
iowrite16(object->x, P(dev.virtbase));
iowrite16(object->y, P(dev.virtbase) + 2);
dev.player = *object;
}
static void write_spider(vga_ball_object *object){
iowrite16(object->x, S(dev.virtbase));
iowrite16(object->y, S(dev.virtbase) + 2);
dev.spider = *object;
}
static void write_start(vga_ball_start *start){
iowrite16(start->x, start(dev.virtbase));
dev.start = *start;
}
static void read_mouse(vga_ball_mouse *object){
object -> x = ioread16(xlatch(dev.virtbase));
object -> y = ioread16(ylatch(dev.virtbase));
object -> l = ioread16(leflatch(dev.virtbase));
object -> r = ioread16(riglatch(dev.virtbase));
object -> m = ioread16(midlatch(dev.virtbase));
// *object = dev.mouse;
}
/*
static void write_ball(vga_ball_coordinate *coordinate)
{
iowrite8(coordinate->x, HCOUNT(dev.virtbase));
iowrite8(coordinate->y, VCOUNT(dev.virtbase));
dev.coordinate = *coordinate;
}
*/
/*
* Handle ioctl() calls from userspace:
* Read or write the segments on single digits.
* Note extensive error checking of arguments
*/
static long vga_ball_ioctl(struct file *f, unsigned int cmd, unsigned long arg)
{
//vga_ball_arg_t vla;
vga_ball_background mushroom;
vga_ball_title title;
vga_ball_centipede centipede;
vga_ball_state state;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_mouse mouse;
vga_ball_start start;
switch (cmd) {
case VGA_BALL_WRITE_BACKGROUND:
if (copy_from_user(&mushroom, (vga_ball_background *) arg,
sizeof(vga_ball_background)))
return -EACCES;
write_background(&mushroom);
break;
case VGA_BALL_WRITE_TITLE:
if(copy_from_user(&title, (vga_ball_title *) arg,
sizeof(vga_ball_title)))
return -EACCES;
write_title(&title);
break;
case VGA_BALL_WRITE_CENTIPEDE:
if (copy_from_user(¢ipede, (vga_ball_centipede *) arg,
sizeof(vga_ball_centipede)))
return -EACCES;
write_centipede(¢ipede);
break;
case VGA_BALL_WRITE_STATE:
if(copy_from_user(&state, (vga_ball_state *) arg,
sizeof(vga_ball_state)))
return -EACCES;
write_state(&state);
break;
case VGA_BALL_WRITE_BULLET:
if (copy_from_user(&bullet, (vga_ball_bullet *) arg,
sizeof(vga_ball_bullet)))
return -EACCES;
write_bullet(&bullet);
break;
case VGA_BALL_WRITE_PLAYER:
if (copy_from_user(&player, (vga_ball_object *) arg,
sizeof(vga_ball_object)))
return -EACCES;
write_player(&player);
break;
case VGA_BALL_WRITE_SPIDER:
if (copy_from_user(&spider, (vga_ball_object *) arg,
sizeof(vga_ball_object)))
return -EACCES;
write_spider(&spider);
break;
case VGA_BALL_READ_MOUSE:
read_mouse(&mouse);
if (copy_to_user((vga_ball_mouse *)arg, &mouse,
sizeof(vga_ball_mouse)))
return -EACCES;
break;
case VGA_BALL_WRITE_START:
if (copy_from_user(&start, (vga_ball_start*)arg,
sizeof(vga_ball_start)))
return -EACCES;
write_start(&start);
break;
/*case VGA_BALL_READ_BACKGROUND:
vla.background = dev.background;
if (copy_to_user((vga_ball_arg_t *) arg, &vla,
sizeof(vga_ball_arg_t)))
return -EACCES;
break;
*/
default:
return -EINVAL;
}
return 0;
}
/* The operations our device knows how to do */
static const struct file_operations vga_ball_fops = {
.owner = THIS_MODULE,
.unlocked_ioctl = vga_ball_ioctl,
};
/* Information about our device for the "misc" framework -- like a char dev */
static struct miscdevice vga_ball_misc_device = {
.minor = MISC_DYNAMIC_MINOR,
.name = DRIVER_NAME,
.fops = &vga_ball_fops,
};
/*
* Initialization code: get resources (registers) and display
* a welcome message
*/
static int __init vga_ball_probe(struct platform_device *pdev)
{
//vga_ball_color_t beige = { 0xf9, 0xe4, 0xb7 };
int ret;
/* Register ourselves as a misc device: creates /dev/vga_ball */
ret = misc_register(&vga_ball_misc_device);
/* Get the address of our registers from the device tree */
ret = of_address_to_resource(pdev->dev.of_node, 0, &dev.res);
if (ret) {
ret = -ENOENT;
goto out_deregister;
}
/* Make sure we can use these registers */
if (request_mem_region(dev.res.start, resource_size(&dev.res),
DRIVER_NAME) == NULL) {
ret = -EBUSY;
goto out_deregister;
}
/* Arrange access to our registers */
dev.virtbase = of_iomap(pdev->dev.of_node, 0);
if (dev.virtbase == NULL) {
ret = -ENOMEM;
goto out_release_mem_region;
}
/* Set an initial color */
//write_background(&beige);
return 0;
out_release_mem_region:
release_mem_region(dev.res.start, resource_size(&dev.res));
out_deregister:
misc_deregister(&vga_ball_misc_device);
return ret;
}
/* Clean-up code: release resources */
static int vga_ball_remove(struct platform_device *pdev)
{
iounmap(dev.virtbase);
release_mem_region(dev.res.start, resource_size(&dev.res));
misc_deregister(&vga_ball_misc_device);
return 0;
}
/* Which "compatible" string(s) to search for in the Device Tree */
#ifdef CONFIG_OF
static const struct of_device_id vga_ball_of_match[] = {
{ .compatible = "csee4840,vga_ball-1.0" },
{},
};
MODULE_DEVICE_TABLE(of, vga_ball_of_match);
#endif
/* Information for registering ourselves as a "platform" driver */
static struct platform_driver vga_ball_driver = {
.driver = {
.name = DRIVER_NAME,
.owner = THIS_MODULE,
.of_match_table = of_match_ptr(vga_ball_of_match),
},
.remove = __exit_p(vga_ball_remove),
};
/* Called when the module is loaded: set things up */
static int __init vga_ball_init(void)
{
pr_info(DRIVER_NAME ": init\n");
return platform_driver_probe(&vga_ball_driver, vga_ball_probe);
}
/* Calball when the module is unloaded: release resources */
static void __exit vga_ball_exit(void)
{
platform_driver_unregister(&vga_ball_driver);
pr_info(DRIVER_NAME ": exit\n");
}
module_init(vga_ball_init);
module_exit(vga_ball_exit);
MODULE_LICENSE("GPL");
MODULE_AUTHOR("Stephen A. Edwards, Columbia University");
MODULE_DESCRIPTION("VGA ball driver");
embedded system/software/vga_ball.c~ 0100777 0000000 0000000 00000022106 13466627536 015040 0 ustar 00 /* * Device driver for the VGA video generator
*
* A Platform device implemented using the misc subsystem
*
* Stephen A. Edwards
* Columbia University
*
* References:
* Linux source: Documentation/driver-model/platform.txt
* drivers/misc/arm-charlcd.c
* http://www.linuxforu.com/tag/linux-device-drivers/
* http://free-electrons.com/docs/
*
* "make" to build
* insmod vga_ball.ko
*
* Check code style with
* checkpatch.pl --file --no-tree vga_ball.c
*/
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include
#include "vga_ball.h"
#define DRIVER_NAME "vga_ball"
/* Device registers */
#define BG(x) (x) //mushroom
#define C(x) ((x)+2*90) //centipede
#define B(x) ((x)+2*90+2*20) //bullet
#define P(x) ((x)+2*90+2*20+2*60) //player
#define S(x) ((x)+2*90+2*20+2*60+4) //spider
#define title(x) ((x)+ 181*2) //title
#define state(x) ((x)+ 221*2) //centipede's state
//mouse registers
#define xlatch(x) ((x)+176*2)
#define ylatch(x) ((x)+177*2)
#define leflatch(x) ((x)+178*2)
#define riglatch(x) ((x)+179*2)
#define midlatch(x) ((x)+180*2)
#define start(x) ((x)+174*2)
/*
* Information about our device
*/
struct vga_ball_dev {
struct resource res; /* Resource: our registers */
void __iomem *virtbase; /* Where registers can be accessed in memory */
vga_ball_background mushroom;
vga_ball_title title;
vga_ball_centipede centipede;
vga_ball_state state;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_start start;
vga_ball_mouse mouse;
} dev;
/*
* Write segments of a single digit
* Assumes digit is in range and the device information has been set up
*/
/*static void write_background(vga_ball_color_t *background)
{
iowrite8(background->red, BG_RED(dev.virtbase) );
iowrite8(background->green, BG_GREEN(dev.virtbase) );
iowrite8(background->blue, BG_BLUE(dev.virtbase) );
dev.background = *background;
}
*/
static void write_background(vga_ball_background *mushroom){
int i;
for(i = 0; i < 90; i++){
iowrite16(mushroom->mushroom[i], BG(dev.virtbase) + 2 * i);
}
dev.mushroom = *mushroom;
}
static void write_title(vga_ball_title *title){
int i;
for(i = 0; i < 40; i++){
iowrite16(title->title[i], title(dev.virtbase) + 2 * i);
}
dev.title = *title;
}
static void write_centipede(vga_ball_centipede *centipede){
int i;
for(i = 0; i < 20; i++){
iowrite16(centipede->centipede[i], C(dev.virtbase) + 2 * i);
}
dev.centipede = *centipede;
}
static void write_state(vga_ball_state *state){
int i;
for(i = 0; i < 10; i++){
iowrite16(state->state[i], state(dev.virtbase) + 2 * i);
}
dev.state = *state;
}
static void write_bullet(vga_ball_bullet *bullet){
int i;
for(i = 0; i < 60; i++){
iowrite16(bullet->bullet[i], B(dev.virtbase) + 2 * i);
}
dev.bullet = *bullet;
}
static void write_player(vga_ball_object *object){
iowrite16(object->x, P(dev.virtbase));
iowrite16(object->y, P(dev.virtbase) + 2);
dev.player = *object;
}
static void write_spider(vga_ball_object *object){
iowrite16(object->x, S(dev.virtbase));
iowrite16(object->y, S(dev.virtbase) + 2);
dev.spider = *object;
}
static void write_start(vga_ball_start *start){
iowrite16(start->x, start(dev.virtbase));
dev.start = *start;
}
static void read_mouse(vga_ball_mouse *object){
object -> x = ioread16(xlatch(dev.virtbase));
object -> y = ioread16(ylatch(dev.virtbase));
object -> l = ioread16(leflatch(dev.virtbase));
object -> r = ioread16(riglatch(dev.virtbase));
object -> m = ioread16(midlatch(dev.virtbase));
// *object = dev.mouse;
}
/*
static void write_ball(vga_ball_coordinate *coordinate)
{
iowrite8(coordinate->x, HCOUNT(dev.virtbase));
iowrite8(coordinate->y, VCOUNT(dev.virtbase));
dev.coordinate = *coordinate;
}
*/
/*
* Handle ioctl() calls from userspace:
* Read or write the segments on single digits.
* Note extensive error checking of arguments
*/
static long vga_ball_ioctl(struct file *f, unsigned int cmd, unsigned long arg)
{
//vga_ball_arg_t vla;
vga_ball_background mushroom;
vga_ball_title title;
vga_ball_centipede centipede;
vga_ball_state state;
vga_ball_bullet bullet;
vga_ball_object player;
vga_ball_object spider;
vga_ball_mouse mouse;
vga_ball_start start;
switch (cmd) {
case VGA_BALL_WRITE_BACKGROUND:
if (copy_from_user(&mushroom, (vga_ball_background *) arg,
sizeof(vga_ball_background)))
return -EACCES;
write_background(&mushroom);
break;
case VGA_BALL_WRITE_TITLE:
if(copy_from_user(&title, (vga_ball_title *) arg,
sizeof(vga_ball_title)))
return -EACCES;
write_title(&title);
break;
case VGA_BALL_WRITE_CENTIPEDE:
if (copy_from_user(¢ipede, (vga_ball_centipede *) arg,
sizeof(vga_ball_centipede)))
return -EACCES;
write_centipede(¢ipede);
break;
case VGA_BALL_WRITE_STATE:
if(copy_from_user(&state, (vga_ball_state *) arg,
sizeof(vga_ball_state)))
return -EACCES;
write_state(&state);
break;
case VGA_BALL_WRITE_BULLET:
if (copy_from_user(&bullet, (vga_ball_bullet *) arg,
sizeof(vga_ball_bullet)))
return -EACCES;
write_bullet(&bullet);
break;
case VGA_BALL_WRITE_PLAYER:
if (copy_from_user(&player, (vga_ball_object *) arg,
sizeof(vga_ball_object)))
return -EACCES;
write_player(&player);
break;
case VGA_BALL_WRITE_SPIDER:
if (copy_from_user(&spider, (vga_ball_object *) arg,
sizeof(vga_ball_object)))
return -EACCES;
write_spider(&spider);
break;
case VGA_BALL_READ_MOUSE:
read_mouse(&mouse);
if (copy_to_user((vga_ball_mouse *)arg, &mouse,
sizeof(vga_ball_mouse)))
return -EACCES;
break;
case VGA_BALL_WRITE_START:
if (copy_from_user(&start, (vga_ball_start*)arg,
sizeof(vga_ball_start)))
return -EACCES;
write_start(&start);
break;
/*case VGA_BALL_READ_BACKGROUND:
vla.background = dev.background;
if (copy_to_user((vga_ball_arg_t *) arg, &vla,
sizeof(vga_ball_arg_t)))
return -EACCES;
break;
*/
default:
return -EINVAL;
}
return 0;
}
/* The operations our device knows how to do */
static const struct file_operations vga_ball_fops = {
.owner = THIS_MODULE,
.unlocked_ioctl = vga_ball_ioctl,
};
/* Information about our device for the "misc" framework -- like a char dev */
static struct miscdevice vga_ball_misc_device = {
.minor = MISC_DYNAMIC_MINOR,
.name = DRIVER_NAME,
.fops = &vga_ball_fops,
};
/*
* Initialization code: get resources (registers) and display
* a welcome message
*/
static int __init vga_ball_probe(struct platform_device *pdev)
{
//vga_ball_color_t beige = { 0xf9, 0xe4, 0xb7 };
int ret;
/* Register ourselves as a misc device: creates /dev/vga_ball */
ret = misc_register(&vga_ball_misc_device);
/* Get the address of our registers from the device tree */
ret = of_address_to_resource(pdev->dev.of_node, 0, &dev.res);
if (ret) {
ret = -ENOENT;
goto out_deregister;
}
/* Make sure we can use these registers */
if (request_mem_region(dev.res.start, resource_size(&dev.res),
DRIVER_NAME) == NULL) {
ret = -EBUSY;
goto out_deregister;
}
/* Arrange access to our registers */
dev.virtbase = of_iomap(pdev->dev.of_node, 0);
if (dev.virtbase == NULL) {
ret = -ENOMEM;
goto out_release_mem_region;
}
/* Set an initial color */
//write_background(&beige);
return 0;
out_release_mem_region:
release_mem_region(dev.res.start, resource_size(&dev.res));
out_deregister:
misc_deregister(&vga_ball_misc_device);
return ret;
}
/* Clean-up code: release resources */
static int vga_ball_remove(struct platform_device *pdev)
{
iounmap(dev.virtbase);
release_mem_region(dev.res.start, resource_size(&dev.res));
misc_deregister(&vga_ball_misc_device);
return 0;
}
/* Which "compatible" string(s) to search for in the Device Tree */
#ifdef CONFIG_OF
static const struct of_device_id vga_ball_of_match[] = {
{ .compatible = "csee4840,vga_ball-1.0" },
{},
};
MODULE_DEVICE_TABLE(of, vga_ball_of_match);
#endif
/* Information for registering ourselves as a "platform" driver */
static struct platform_driver vga_ball_driver = {
.driver = {
.name = DRIVER_NAME,
.owner = THIS_MODULE,
.of_match_table = of_match_ptr(vga_ball_of_match),
},
.remove = __exit_p(vga_ball_remove),
};
/* Called when the module is loaded: set things up */
static int __init vga_ball_init(void)
{
pr_info(DRIVER_NAME ": init\n");
return platform_driver_probe(&vga_ball_driver, vga_ball_probe);
}
/* Calball when the module is unloaded: release resources */
static void __exit vga_ball_exit(void)
{
platform_driver_unregister(&vga_ball_driver);
pr_info(DRIVER_NAME ": exit\n");
}
module_init(vga_ball_init);
module_exit(vga_ball_exit);
MODULE_LICENSE("GPL");
MODULE_AUTHOR("Stephen A. Edwards, Columbia University");
MODULE_DESCRIPTION("VGA ball driver");
embedded system/software/vga_ball.h 0100777 0000000 0000000 00000002744 13466627536 014655 0 ustar 00 #ifndef _VGA_BALL_H
#define _VGA_BALL_H
#include
//type for centipede
typedef struct {
unsigned short centipede[20];
} vga_ball_centipede;
//type for bullet
typedef struct {
unsigned short bullet[60];
} vga_ball_bullet;
//type for independent object
typedef struct {
unsigned short x , y;
} vga_ball_object;
typedef struct{
unsigned short mushroom[90];
}vga_ball_background;
typedef struct{
unsigned short title[40];
}vga_ball_title;
typedef struct{
unsigned short state[10];
}vga_ball_state;
typedef struct{
unsigned short x,y,l,r,m;
}vga_ball_mouse;
typedef struct {
unsigned short x;
} vga_ball_start;
#define VGA_BALL_MAGIC 'q'
/* ioctls and their arguments */
#define VGA_BALL_WRITE_BACKGROUND _IOW(VGA_BALL_MAGIC, 1, vga_ball_background *)
#define VGA_BALL_READ_BACKGROUND _IOR(VGA_BALL_MAGIC, 2, vga_ball_background *)
#define VGA_BALL_WRITE_TITLE _IOW(VGA_BALL_MAGIC, 9, vga_ball_title *)
//define VGA_BALL_COORDINATE
#define VGA_BALL_WRITE_CENTIPEDE _IOW(VGA_BALL_MAGIC, 3, vga_ball_centipede *)
#define VGA_BALL_WRITE_BULLET _IOW(VGA_BALL_MAGIC, 4, vga_ball_bullet *)
#define VGA_BALL_WRITE_PLAYER _IOW(VGA_BALL_MAGIC, 5, vga_ball_object *)
#define VGA_BALL_WRITE_SPIDER _IOW(VGA_BALL_MAGIC, 6, vga_ball_object *)
#define VGA_BALL_WRITE_START _IOW(VGA_BALL_MAGIC, 8, vga_ball_start *)
#define VGA_BALL_READ_MOUSE _IOR(VGA_BALL_MAGIC, 7, vga_ball_mouse *)
#define VGA_BALL_WRITE_STATE _IOW(VGA_BALL_MAGIC, 10, vga_ball_state *)
#endif